• 통큰쿠폰이벤트-통합
  • 통합검색(1,026)
  • 리포트(921)
  • 자기소개서(59)
  • 시험자료(30)
  • 논문(13)
  • 이력서(2)
  • 방송통신대(1)

"스텝모터" 검색결과 61-80 / 1,026건

  • 스텝모터와 가속도센서를 이용한 각도 유지장치 보고서( 아두이노 프로젝트, 코드.고찰, 개요 포함)
    목표 : 스텝모터를 브레드보드에 고정시키고 브레드보드의 각도를 임의로 변화시켰을 때, 스텝모터의 축에 붙인 테이프가 항상 위를 향하도록 각도를 제어한다.3. ... Motor_Target=0;int Motor_Counts=0;int Motor_Period=500;void setup() {Serial.begin(9600);DDRB=0b000011 ... ("\n");Motor_Target=-round(Degree*8/0.9);}// Motor_Target에 Degree 값을 넣어준다.// 이때 스텝모터의 특성 상 1펄스당 0.9도를
    리포트 | 5페이지 | 3,500원 | 등록일 2018.05.06 | 수정일 2020.11.27
  • [아날로그및디지털회로설계실습A+] 스텝 모터 구동기 결과 레포트 입니다
    목적단극 스텝 모터(Uni-polar step motor)의 동작 원리와 스텝 모터를 조종하기 위한 범용 이용 레지스터(Universal shift register)의 사용 방법을 ... 아날로그 및 디지털 설계 실습4# 스텝모터 구동기결과 레포트설계실습 4. 스텝 모터 구동기1. ... 한편, S0과 S1은 스텝 모터의 단계가 바뀌는 방향을 결정짓는다.구동기를 초기화하기 위하여, S0, S1 스위치를 모두 내린 후, CLR에 전압을 가해준다.(1.1) 이때, 출력단
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.06
  • [아날로그및디지털회로설계실습A+] 스텝 모터 구동기 예비 레포트 입니다
    목적 : 단극 스텝 모터(Uni-polar step motor)의 동작 원리와 스텝 모터를 조종하기 위한 범용 이용 레지스터(Universal shift register)의 사용 방법을 ... 아날로그 및 디지털 설계 실습4# 스텝모터 구동기예비 레포트설계실습 4. 스텝 모터 구동기1. ... 만약 1회전 100 펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇도 회전하는지 계산하시오.- 1상 여자방식360dgree/100pulse = 3.6
    리포트 | 3페이지 | 1,000원 | 등록일 2017.10.06
  • PWM을 이용한 스텝모터 제어
    .028Hz70RPM3700629.375Hz90RPM2700853.548Hz125RPM스테핑모터 [stepping motor]펄스 신호를 줄 때마다 일정한 각도씩 회전하는 모터.입력 ... 펄스 수에 대응하여 일정 각도씩 움직이는 모터로, 펄스모터 혹은 스텝모터라고도 한다. ... 목적이번 실험은 PWM을 이용하여 스텝 보터를 구동해 보고, 주파수 변화에 따른 모터의 동착 특서을 관찰하며 이론적 특성과 실험적 결과를 비교 검증 하고자한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.03.26
  • 스텝모터와 DC모터, LED, 레고를 이용하여 잠수함 제작
    -설계 내용우리조의 경우 스텝 모터와 DC모터가 모두 작동되지 않았으므로 시험기간 전주와 시험기간에 스텝 모터부품을 조교님께 부탁하여 받고 새로 보드를 만들어 보았다. ... 주축이 되는 위쪽에 큰 프로펠러는 DC모터로 돌리고, 뒤에 작은 프로펠러 세 개는 스텝모터를 이용하여 돌린다. ... 스텝모터의 경우 회로에 문제가 있었지만 DC모터의 경우 회로가 아닌 코드에 문제가 있었다. 코드를 일부분 수정하니 작동하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2011.12.19
  • PWM을 이용한 스텝모터제어.hwp
    스텝 당 보통 1.8' 씩 회전한다. 스테핑 모터는 AC servo, DC servo 모터에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할수 있다. ... PWM을 이용한 스텝모터제어1. 실험 목적스테핑 모터Step 에 의해 구동되는 모터이다. 4개의 모터상이 있어서 한 스텝스텝 진행하면서 모터가 회전하는 것이다. ... 회전 속도에 있어서도 스테핑 모터에 부여하는 pulse rate에 비례하므로 임으로 제어할 수 있다.본 실험에서는 PWM을 이용해서 스텝모터를 구동해 보고, 주파수의 변화에 따른 모터
    리포트 | 11페이지 | 2,000원 | 등록일 2010.11.04
  • 스텝모터 동작원리
    ), 스텝모터(stepper motor), 스텝모터(stepping motor), 펄스 모터(pulse motor)는 같은 의미로 사용된다. ... 또 펄스 주파수(디지털 신호)에 의해 회전 속도가 제어되며, 구동회로도 디지털 적으로 동작하므로, 마이크로프로세서와 결합하기 쉬운 모터라고 할 수 있다.스텝 모터(step motor ... 제어하는 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게 다른 점은 모터의 shaft의 위치를
    리포트 | 3페이지 | 1,000원 | 등록일 2008.03.09
  • 스텝모터에 대한 모든것
    정의- Pulse 신호를 줄 때마다 일정한 각도씩 회전하는 모터로 Pulse MotorStepper Motor라 지칭한다- 입력 Pulse 수와 모터의 회전 각도가 완전히 비례하므로 ... 특징1) 장점- 모터의 총 회전각은 입력 Pulse 수의 총수에 비례, 모터의 속도도 또한 초 당 입력 Pulse 수에 비례한다.- 모터의 총 회전각은 입력 Pulse 수에 의해 결정되기 ... 에 비해 효율이 떨어진다- 큰 힘이 필요한 대용량의 구동계에 사용되기는 어렵다- 모터 자체에는 Feed-back 메커니즘이 없어서 피드백 신호가 필요할 때는 모터의 회전축에 광학
    리포트 | 10페이지 | 2,500원 | 등록일 2008.12.04
  • 설계실습 4. 스텝 모터 구동기
    한편, S0과 S1은 스텝 모터의 단계가 바뀌는 방향을 결정짓는다.구동기를 초기화하기 위하여, S0, S1 스위치를 모두 내린 후, CLR에 전압을 가해준다.(1.1) 이 때, 출력단 ... 이 때, 스텝 모터는 어떻게 움직이나?- 시계 방향으로 움직였다.(2.2) S0 스위치만을 내린 후, CLK를 눌렀다 뗄 경우, 스텝 모터는 어떻게 움직이나? ... 구동기가 정상적으로 동작함을 확인하기 위형, 출력단 (QA, QB, QC, QD)에 LED 회로를 추가한다.스텝 모터는 다음 세 개의 핀 (CLK, S0, S1)으로 조정된다.CLK는
    리포트 | 3페이지 | 1,000원 | 등록일 2010.11.11
  • 설계실습4: 스텝 모터 구동기
    목적단극 스텝 모터 (Uni-polar step motor)의 동작 원리와 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register)의 사용 방법을 ... 설계실습4: 스텝 모터 구동기1. ... 만약 1회전 100 펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇도 회전하는지 계산하시오.360°회전하는데 100펄스가 필요하므로 1펄스 당씩 회전한다
    리포트 | 2페이지 | 1,000원 | 등록일 2010.11.11
  • 스텝모터 구동소스
    include #include #include #include #include "motor.h"int motor_major=249;int motor_speed = 1000;unsigned ... struct pt_regs *regs){OSTIMER_OFF;Motor_Clock();MOTOR_ReSetting(motor_speed);}int data_motor_open(struct ... : {if(motor_speed > 150){motor_speed -= 50; // Speed Upprintk("%d\n", motor_speed);}break;}case 4 : {
    리포트 | 1,000원 | 등록일 2007.02.23
  • 직렬통신을 이용한 스텝모터제어 예비 보고서
    당연히 스텝모터를 구동하기 위한 함수도 미리 선언해 두어야 합니다.컴퓨터 키보드 값을 입력하면(0~9) 수신 완료 비트 RI가 1로 set 되어 직렬 서비스 루틴을 호출하게 되며 이때 ... 직렬 통신을 이용한 스텝모터제어 □ 시리얼 인터럽트 서비스 루틴에서 스텝 모터의 속도를 제어하기 위해 숫자를 어떻게 받을 것인가에 대해 생각해보고 수도코드를 작성하시오.(0~9까지의 ... 이러한 알고리즘을 통해서 직렬통신을 이용해 스텝모터의 속도를 제어할 수 있습니다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 디지털공학) 스텝모터, 서보모터, 홀센서 정리
    이렇게 기계적으로 미세한 스텝각을 가지도록 할 수 있으며 이것은 200step/rev 혹은 500step/rev으로 정밀 제어용 스테핑 모터로 적용하여 많이 사용하고 있다.[3] 스테핑 ... 스테핑 모터(Stepping Motor)[1] 스테핑 모터의 개요스테핑 모터(Stepping Motor)는 Step Motor 혹은 Reluctance Motor 등으로 불리워지고 ... 제어하고 있다.에서 이송거리 S를 이송하는데 속도 V로 이송을 한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.06.23
  • L297을이용한스텝모터제어회로
    CLOCK : 모터의 속도제어 19. : L297 의 Translator 라는 블록에서 Half Step 과 Full Step 을 위한 알맞은 순서를 발생시킨다 . half step ... 즉 펄스 두 개가 들어와야 모터의 한 스텝이 돌아간다 . 20. ... 스텝모터회로 설계 Project Application회로도L297 L297 은 스테핑 모터를 정전류 구동하기 위한 모든 회로가 포함되어 있는 전용 컨트롤러이다 .L297 PIN 1.
    리포트 | 11페이지 | 1,000원 | 등록일 2009.05.01
  • 스텝모터 예비보고서
    특히 선형운동을 하는 것을 리니어 스테핑모터라고 한다.1.2 stepping motor의 특징스텝모터의 특징에는 여러가지가 있으나 그 최대의 특징은 펄스 전력에 의한 회전 특성을 ... )1.1 stepping motor란? ... 영구 자석의 종류에 따라 step 각이 분류되어 있으며, step각도가 큰,의 motor에는 일반적으로 알니코계의 자석을,, 15,등의 motor에는 페라이트계의 자석이 사용되고 있다
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.13
  • 싼소스 [아주대학교 기계공학응용실험 A+자료] motor#2 BLDC 모델림(ramp step) 예비보고서
    시스템 레벨 설계, 시뮬레이션 등을 지원한다.Motor driver모터의 속도나 방향을 조절해 주는 장치이다.4. ... 실험 장치구분사진설명DAQ 보드(PCI-6221)전기적 신회를 디지털 데이터로 변환해주거나 디지털 데이터를 전기적인 신호로 변환해주는 장치BLDC모터일반 모터보다 마모되기 쉬운 부분을 ... 실험 이론(1) BLDC모터의 수학적 모델링모델링을 방정식으로 표현하면 다음과 같은 식을 얻을 수 있다.
    리포트 | 5페이지 | 무료 | 등록일 2017.07.02
  • 국민대학교 자동차융합실험I - 6. PWM을 이용한 스텝모터 제어
    . - PWM 주파수와 모터 속도간의 관계위 표를 바탕으로 본다면 주파수가 증가하는 동안 모터 속도는 증가한다. 고로 두 값은 비례 관계이다. ... -로터리 엔코더(Rotary Encoder) : 모터와 비슷한 모양으로 생긴 엔코더라는 센서를 회전물체 중심에 따라 회전하게 한다. ... 주파수는 위와 같이 늘어나며, 모터 속도는 전에 값에 약 133.33%,250%만큼 증가한다.5. 여러 가지 RPM측정 센서가 있다.
    리포트 | 4페이지 | 3,000원 | 등록일 2015.03.23
  • 논리회로설계실험 스텝모터 제어기의 설계
    std_logic;MTL_nB : OUT std_logic);end motor2_rot;architecture RoV_Lab of motor2_rot issignal key_in_l ... ;entity motor2_rot isport (CLK_4M : In std_logic;RSTB : IN std_logic;MTP_SW1 : IN std_logic;MTP_SW2 : ... ;signal phase_lclk : std_logic;signal motor_rcnt : integer range 0 to 25000;signal phase_rclk : std_logic
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 스텝모터/서보모터선정방법
    감속기의 감속비 확인1) 감속기 필요 회전수 산출WORK에 필요한 속cm²]감속기 축의 허용관성모멘트( 1425 kgcm² ) 전관성 모멘트( 384 kgcm² )모터는 2IK6GN-AWU ... 속도(S)풀리 구동3. ... 수평 방향으로 외력은 없기 때문에, 경사각 α = 0˚, 외력 FA = 0 kg 이 됩니다.F = FA + W(sinα + μcosα) = 0 + W(sin0˚ + μcos0˚)=
    리포트 | 77페이지 | 3,500원 | 등록일 2007.04.19
  • [자동제어] Stepping motor (스텝모터) 관련 정리 자료
    이와 같이 A,B,C의 여자를 반복함에 의해 일전 step(기본 step)씩 회전한다㉡ 영구자석형 stepping motor이 타입은 PM형 stepping motor라고도 불리며, ... 이 때문에 펄스 모터 혹은 스텝 모터라고도 불리며, 위치 결정 제어용에 사용되고 있다. ... Stepping motor란?Stepping motor는 입력 펄스에 대응하여 일정 각도씩 step상에 회전한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2009.02.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대