• 통큰쿠폰이벤트-통합
  • 통합검색(1,026)
  • 리포트(921)
  • 자기소개서(59)
  • 시험자료(30)
  • 논문(13)
  • 이력서(2)
  • 방송통신대(1)

"스텝모터" 검색결과 81-100 / 1,026건

  • 국민대학교 자동차공학실험 - PWM을 이용한 스텝 모터 제어 보고서
    AC servo, DC servo 모터에 비하여 값이 싸고 정확한 각도제어에 유리하여 우리 주위에서 쉽게 접할 수도 있어서 메카트로닉스 기계에서 중요한 전기 모터 중에 한 가지이다.스테핑 ... 자동차공학실험 IPWM을 이용한 스텝 모터 제어 보고서학번성명편성수강신청1. ... 입력 펄스 수에 대응하여 일정 각도씩 움직이는 모터로, 펄스모터 혹은 스텝모터라고도 한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2014.03.09
  • 스텝모터
    영구 자석의 종류에 따라 step 각이 분류되어 있 으며, step각도가 큰 90˚, 45˚의 motor에는 일반적으로 알니코계의 자석을, 18˚, 15 ˚, 7.5˚등의 motor에는 ... 있으며, 기본적으로 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다. ... 일반적으로 가장 많이 사용되고 있는 4상 stepping motor를 그림으로 나타내었다.{※ 상 : 위상. motor 내부에 독립적으ctance type : 가변 리럭턴스 형)(2
    리포트 | 10페이지 | 무료 | 등록일 2001.09.13
  • 임베디드 stepping motor
    즉, 화살표 키의 키는 방향을 바꾸고, 상하 키는 모터의 속도를 바꾼다. ... 방향을 바꾸는 경우 모터에 무리가 가지 않도록 부드러운 방향 전환이 가능하도록 제어 코드를 작성하였다.
    리포트 | 3,000원 | 등록일 2005.07.10
  • 스텝모터의 구조 및 종류 원리
    기본 스텝각을 1/1 ~ 1/250까지 세분화할 수 있습니다. ... 중간의 영구자석에 의하여 ROTOR1은 N극, ROTOR2는 S극으로 자화되어 있습니다.로터 1로터 2STEPPING MOTOR의 구조(전체)규소강판을 적층하는 형태로 제작되었으며 ... 매우 정밀하게 고정sistor가 사용되지만 저속 에서도 높은 TORQUE효율을 갖고 있습니다.
    리포트 | 36페이지 | 3,000원 | 등록일 2007.04.19
  • [서보기기] 스텝모터
    ☞ 8255 인터페이스 모듈 이용☞ 스텝모터 좌우회전, 가감속 회전☞ C언어를 통한 스텝모터의 제어2.스텝모터의 종류/활용도☞VR(Varible Reluctance) Type : 가변 ... 스텝모터의 종류3. 8255 I/O모듈 사용법4. 프로그램5. 결과 및 문제점1.실험 목적☞스텝모터는 우리가 처음 접하는 것이 아니다. ... : implementation file//#include "stdafx.h"#include "step.h"#include "stepDlg.h"#include "conio.h"#include
    리포트 | 13페이지 | 1,000원 | 등록일 2004.04.08
  • 8051을 이용한 스텝모터 구동하기(소스와 회로도 포함)
    8051 MCU를 이용하여 스텝모터를 제어한다.1. 스텝 모터의 구동원리2. 사용할 회로도3. 1상 여자 2상 여자 1-2상 여자4. ADC로 스텝모터 돌리기
    리포트 | 4페이지 | 1,000원 | 등록일 2010.01.27
  • 기계자동차공학실험1) H.PWM을 이용한 스텝모터 제어
    펄스모터 혹은 스텝모터라고도 하며. ... PWM을 이용한 스텝모터제어실험목적-PWM을 이용하여 스텝 보터를 구동해 보고, 주파수 변화에 따른 모터의 동착 특서을 관찰하며 이론적 특성과 실험적 결과를 비교 검증 하고자한다. ... 알맞은 모터이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.28
  • 논리회로실험 스텝모터 결과보고서
    스텝모터(stepper motor), 스텝모터(stepping motor), 펄스 모터(pulse motor)는 같은 의미로 사용된다.스텝 모터로 분류될 수 있는 엑추에이터들은 ... 또 펄스 주파수(디지털 신호)에의해 회전 속도가 제어되며, 구동회로도 디지털 적으로 동작하므로,마이크로프로세서와 결합하기 쉬운 모터라고 할 수 있다.스텝 모터(step motor), ... 회전각이 입력 pulse수에 비례하고, 모터의 속도가 1초간의입력 pulse수에 비례한다는 점.5) 1 step당 각도오차가 +5% 이내이며 회전각의 오차가 step마다누적되지 않는다는
    리포트 | 8페이지 | 1,000원 | 등록일 2008.01.14
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 결과보고서 4장 스텝모터구동기
    CLK는 Clock의 역할을 하며, 0 V에서 5 V로 바뀌게 될 때, 스텝 모터의 단계가 바뀌게 된다. 한편, S0과 S1은 스텝 모터의 단계가 바뀌는 방향을 결정짓는다. ... 구동기가 정상적으로 동작함을 확인하기 위하여, 출력단에 LED 회로를 추가한다.스텝 모터는 다음 세 개의 핀 (CLK, S0, S1)으로 조정된다. ... 모터가 살짝 움직인다는 걸 손으로 느낄 수 있었다.(2.2) S0 스위치만을 닫은 후, CLK를 눌렀다 뗄 경우, 스텝 모터는 어떻게 움직이는가?
    리포트 | 6페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • 스텝모터종류 조사 및 가/감속 운전 프로그램
    작업), step motor모터를 회전시키되, 회전방향을 변화시킬 수 있는 프로그램을 작성한다(S/W 작업). ... Stepping motor의 개요최근에 stepping motor(pulse motor, stepper motor)가 가지는 독특한 특성과 정확한 위치제어 때문에 OA, FA 등에 ... 많이 사용되고있다. stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에달라진다.
    리포트 | 13페이지 | 1,000원 | 등록일 2008.06.10
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 4장 스텝 모터 구동기
    실습목적단극 스텝 모터의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터의 사용 방법을 배운다. ... 스텝 모터 구동기조7조제출일2016-10-06학번, 이름4-1. ... 만약 1회전 100펄스의 스텝 모터가 있다면, 구동회로에서 1개의 펄스를 보낼 때 스텝 모터는 몇 도 회전하는지 계산하시오1상, 2상 여자방식 :1회전(360°)을 도는데 100펄스라면
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • [전기기기]유도기, 동기기, 스텝모터, BLDC모터
    AC and DC Motors, Generators, Transformers and Three-Phase VoltagesAC and DC Motors, Generators, Transformers ... 반비례하여 토크가 증가하고 비교적 매끄러운 곡선 점 m을 지나면, 거의 슬립에 정비례하고, 토크는 비교적 급속히 감소하여 s=0인 점에서 토크는 '0'이 된다. ... 일정하게 유지하고 슬립 또는 속도에 대하여 이들의 변화하는 상태를 나타내는 곡선 토크속도곡선 토크가 작은 기동토크를 발생하는 점 a에서 시작하여 최대 토크를 발생하는 점 m까지는 슬립 s에
    리포트 | 78페이지 | 3,000원 | 등록일 2006.06.14
  • 스텝모터의 기본원리 및 응용원리 (동영상이 포함)
    STEP-MOTOR 특성-3 STEP-MOTOR의 탈조!! 이것이 문제다!!운전 PULSE 속도 f2을 낮춘다.가속시간 t1을 길게 한다기동 PULSE 속도 f1을 높인다.탈조란? ... SEVER MOTOR에서의 과부하 ALARM의 상태로 생각할 수 있습니다. STEP-MOTOR 특성-5 DC드라이버와 AC드라이버는 무언가 차이가 있다.!! ... DRIVER의 입력전원은 AC 및 DC로 흔히 구분됩니다.MOTOR에 입력되는 전원이 DC전원이면 DRIVER는 추가적인 변환회로 없이 직접적으로 MOTOR에 DC전원을 공급하기 때문에
    리포트 | 19페이지 | 3,000원 | 등록일 2008.10.10
  • [전기전자] 스테핑모터(stepping motor)
    {표 4.1 스텝모터의 종류별 특징{{{(a)VR형 스테핑 모터(b)PM형 스테핑 모터{{(c)하이브리드형 스테핑 모터(d) (c)의 회전자와 고정자를 결합했다.{{{{5. stepping ... 제어하는 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게다른 점은 모터의 shaft의 위치를 ... 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motorstepping motor가 많이 사용되고 있으며, 특히 stepping motor는 pulse에 의해 digital적으로
    리포트 | 15페이지 | 1,000원 | 등록일 2002.05.06
  • 솔레노이드, 직선운동 보조기구, 서보모터, 스텝모터, 액추에이터
    영구 자석의 종류에 따라 step 각이 분류되어 있 으며, step각도가 큰 90˚, 45˚의 motor에는 일반적으로 알니코계의 자석을, 18˚, 15 ˚, 7.5˚등의 motor에는 ... type : 영구 자석 형)(3) HB형 (hybrid type : 복합형)그림 2. stepping motor의 각종구조a) VR형VR형도 연철 또는 성층강판으로 회전자가 만들어져 ... 회전운동에 의한 출력전달 장치의 대표를 모터(Motor)라고 하면 직선운동의 대표는 솔레노이드라고 할 수 있다.위의 사진은 AS 신형을 일부 절단한 사진으로 구조전체가 표시되고있다.코일에
    리포트 | 21페이지 | 2,000원 | 등록일 2009.05.26
  • [모터] 스텝 모터 의 기능 및 장단점 설계 실습(VHDL)
    Stepping motorStepping motor 의 개요스테핑 모터(Stepping motor) : 스텝 모터, 펄스 모터 AC servo, DC servo motor에 비해 저렴 ... 따라 motorstep각 등의 기본 특성이 달라 집니다. ... 모터의 회전각이 입력 pulse수에 비례하고, 모터의 속도가 1 초간의 입력 pulse수에 비례한다. 1 step당 각도오차가 5% 이내이며 회전각의 오차가 step마 다 누적되지
    리포트 | 21페이지 | 1,000원 | 등록일 2005.07.19
  • [자동제어] 스텝모터제어
    , 3상 모터, 4상 모터, 5상 모터, 6상 모터 등으로 분류하고, 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다. ... Stepping Motor 구동 프로그램/*^^** 3개의 스텝모터 제어 프로그램***^^*/#include #include #define BASE 0x300 /* Base Address ... Stepping Motor 제어2.1 개요스테핑 모터는 일명 스텝 모터, 펄스 모터, 계동 전동기라 하며, 모터축의 회전이 단계적으로 스텝 형태로 움직이는 모터이다. 1개의 펄스 신호를
    리포트 | 9페이지 | 1,000원 | 등록일 2002.12.29
  • power transistor를 이용한 스텝모터 cw-ccw 1상 ,2상 ,1-2,상 여자 제어
    스텝모터(power transistor)작성자 : 양태광1. 스텝 모터: 펄스 모양의 전압에 의해 일정 각도 회전하는 전동기. ... 스텝 모터의 구조스텝모터모터의 구조에 따라서 아래와 같이 3가지로 분류된다1) PM[Permanent Magnet Type]형: PM형은 회전자를 영구 자석으로 사용하고, 고정자 ... 이 여자 방식은 정밀하게 위치제어를 하고자 하는 시스템에 많이 쓰인다.TX/RX 제어include#includechar cw1[]={0x01,0x02,0x04,0x08};// 1상여자
    리포트 | 1,500원 | 등록일 2012.05.21 | 수정일 2014.03.24
  • 스텝모터 3축 동시 제어
    스텝모터 3축 동시 제어※ PPI 8255와 스텝모터의 원리는 이미 Project2 결과 보고서에서 설명하였으므로 생략합니다.1. 3축 Stepping Motor 구동 프로그램#include ... /* Control Word */#define CW 1 /* 시계 방향 */#define CCW -1 /* 반시계 방향 */#define MOTORS 3 /* 스텝모터 갯수 */#define ... /for( idx = 0; idx < MOTORS; idx++)revolt[idx]--;}printf("스텝모터 구동이 정상적으로 끝났습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2002.12.29
  • 스텝모터와 직류 모터의 비교
    스텝모터 CPU에서 부여한 신호에 따라서 모터의 회전각도가 결정되는 모터이다. ... 모터의 1/6회전마다 펄스가 발행하여 CPU로 이송된다. CPU에서 직류 모터를 제어하기 위해서는 PWM제어가 간단하다. ... 그리고 출력에 비하여 중량성이 크다직류 모터 직류 모터는 모형용을 비롯하여 그 용도가 많으며 여러 가지 규격이 비교적 염가로 시판되고 있다.
    리포트 | 1페이지 | 1,000원 | 등록일 2000.09.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대