• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(263)
  • 리포트(242)
  • 시험자료(16)
  • 논문(5)

"시그널레드" 검색결과 61-80 / 263건

  • Ch 5. Timer and Audio Amp
    the output of PWM is last magnitude of input signal as width of rectangular shape signal. ... In other word, the sample motor check magnitude of input signal every periodic rectangular pulse. then ... voltage (blue waveform) in a magnetic circuit is proportional to the rate of change of the flux density (red
    리포트 | 4페이지 | 2,000원 | 등록일 2013.10.31
  • 병원의료인과 소방공무원의 자가운전 안전운행 행태에 관한 비교 연구 (A Comparative Study of Safety-Driving Behaviors for Fire Officials and Medical Personnel)
    한국교육원리학회 이인모, 김수태, 고재문
    논문 | 6페이지 | 3,000원 | 등록일 2015.11.05 | 수정일 2017.02.02
  • 기능유전체학 기말 범위 요점정리 (functional genomic)
    probe: fluorophore & QuencherCycle 그래프는 exponential (초기) phase+ plateau phaseCt(threshold cycle): 형광 signal이 ... intensity/green intensity): function (PM,MM) of MAS/dchip/RNAA(average): (log2(red intensity) + log2 ... differenceprobset: sum(PM-MM)/nprobe intro valueQuality check&normalizationQuality checkM(log ratio) : log2(red
    시험자료 | 5페이지 | 2,000원 | 등록일 2017.07.20
  • 아킬레스건 파열, rupture of Achilles tendon, 케이스 스터디
    있음. 2. fluid collection the posterior of achilles tendon in proximal portion of rupture site 3. high signal ... 확진 을 위해 X-ray, MRI, 초음파검사 등 이용 Redness, Heating sense, Swelling, Pain 작은 신발이나 굽높은 신 발 , 딱딱한 신발을 신으면 통증이
    리포트 | 15페이지 | 6,000원 | 등록일 2018.12.12
  • [A+자료] VHDL를 이용한 신호등 설계 입니다.
    선언signal car_sync : std_logic;signal TL : std_logic;signal ST : integer range 0 to 3;signal NS : integer ... range 0 to 3;signal count : UNSIGNED(3 downto 0);signal led_reg : UNSIGNED(3 downto 0);signal clk_1s ... 차량용 신호등은 Red, Yellow, Green 이렇게 세가지로 구성되어 있고, Red -> Yellow -> Green -> Red........의 순서로 반복 진행된다.2.
    리포트 | 6페이지 | 3,000원 | 등록일 2012.06.17
  • 제대로 번역한 Reading Explorer3 UNIT11. Art and Life 11A. Color of Life (삶의 색)
    extracted from insects, plants, and minerals, primitive humans copied animals, painting their bodies to signal ... They saw blue and red in the sky and brown and green on the ground.
    리포트 | 3페이지 | 2,500원 | 등록일 2013.08.29 | 수정일 2020.07.13
  • 아주대학교 통신실험 예비보고서 (unit9)
    얻어라.Does the reconstructed signal resemble the original signal? ... 목적- PCM signal의 전송방법에 대하여 알고 noise와 band limiting이 PCM signal에 미치는 영향에 대하여 알아본다.- PCM system에서 Companding에 ... PCM은 디지털 전화 시스템에 쓰이며, 컴퓨터와 CD 레드북에서 디지털 오디오의 표준이기도 하다.
    리포트 | 20페이지 | 1,500원 | 등록일 2013.11.28
  • [5주차] Transistor의 DC 바이어스 및 동작모드 예비레포트
    여기서 대신호란 BJT 를 동작시키는 동작점을 결정하는 DC signal 을 이야기 하며 소신호란 우리가 회로 시스템에서 전달하고자 하는 정보 신호로서 ac signal을 의미한다. ... 일반적으로 ac signal 은 DC signal 보다 20dB, 즉 약 100 배 정도 작아서 소신호라고 부른다.대신호 모델은 BJT 의 동작점을 결정하는 전류 및 전압을 의미하며 ... model) 과 소신호 모델 (small signal model) 로 구별하여 이야기 한다.
    리포트 | 24페이지 | 2,000원 | 등록일 2013.10.28 | 수정일 2016.04.06
  • 센서를 활용한 자동화 사거리 신호등 설계 방안
    (;while Ultrasonic sensor signal = "0")외부입력(Sensor)상태 제어J(X,Y,Z)상태 주기 카운터A(3,2,1,0)상태 신호(북=남, 동=서)GN ... (;while Ultrasonic sensor signal = "1")외부입력(Sensor)상태 제어J(X,Y,Z)상태 주기 카운터A(3,2,1,0)상태 신호(동=서)GE(orW) ... (;while Ultrasonic sensor signal = "1")- Basic Circuit composition북(R)4x1Mux북(G)4x1Mux북(Y)4x1Mux동(R)
    리포트 | 10페이지 | 1,500원 | 등록일 2012.10.30
  • Term Project Report Traffic Light Controller
    vehicle signal and width the report becomes standstill (red). ... of the road (red) and, 4 kind signals of paying attention (Roh and), turning left (green) and going ... straight (green) and 2 kind signals of case standstill of zebra crossing (red) and walking (green) composition
    리포트 | 16페이지 | 5,000원 | 등록일 2011.06.09
  • C언어 signal을 이용한 신호등 구현
    31개의 사건에 대한 시그널이 정의되 어 있다.시그널의 이름은 헤더 파일 signal.h를 포함시켜 정의된다. ... ] ) {case -1:perror(argv[0]);exit(1);case 0://빨간불의 역할을 수행하는 함수를 실행 한다.signal_lamp(RED_LAMP);exit(1);} ... UNIX에서의 Signal처리 하기- signal()UNIX 운영체제에서 시그널은 시스템 내에서 어떤 사건(event)이 발생한 것을 프로세스 사이에 알리는 수단으로 사용되는데 UNIX에서는
    리포트 | 10페이지 | 1,500원 | 등록일 2008.04.06
  • 뼈세포의 분화
    이번 실험에서는 뼈에서 bone marrow macrophage(BMM)을 분리한 다음, 특정 signal을 주는 조작을 통해서 BMM을 osteoclast로 분화시킨다. ... Osteoclast다핵성 cell로 red bone marrow에서 만들어진 macrophage에서 분화되어 생성될 수 있다. ... blood cell이 bone marrow cell에 많기 때문에 red blood cell을 lysis하기 위해서 쓰인다.③ M-CSF & RANKLM-CSF(Macrophage
    리포트 | 4페이지 | 1,500원 | 등록일 2014.11.22
  • 이동통신시스템 2차 프로젝트
    (312);plot(t, Q_qdat,'g');title('Modulated q signal')grid onsubplot(313);plot(t, QPSK);title('QPSK signal ... *sin(2*pi*t);QPSK=(Q_idat-Q_qdat);subplot(311);plot(t, Q_idat, 'r');title('Modulated i signal')grid onsubplot ... Idet(k+1);endfor l=Tb/Ts+1:2*Tb/TsRecovered(2*k*Tb/Ts+l)=Qdet(k+1);endendfigure(3) % determined I & Q signal
    리포트 | 15페이지 | 3,000원 | 등록일 2014.01.01
  • [고려대학교 행정이론] 중간고사 시험범위 정리
    시그널링 (대리인으로 하여금 스스로 자신의 정보를 드러내도록 하는 시스템 ex) 선거공보 발송,3. ... 관료제의 역기능(관료제 통제의 제한성) : 무사안일주의 / 훈련된 무능(trained incapacity)/ 번문욕례(red tape)와 형식주의/ 동조과잉(over-conformity
    리포트 | 15페이지 | 1,500원 | 등록일 2018.11.10
  • 스마트폰 센서 종류 ppt
    A sensor is a converter that measures a physical quantity and converts it into a signal which can be ... 대기의 압력을 측정하는 센서 동작 인식 센서 (Motion Recognition Sensor) 물체의 움직임이나 위치를 인식하는 센서 RGB 센서 (RGB Sensor) 빛의 RGB(Red
    리포트 | 14페이지 | 1,000원 | 등록일 2014.11.11 | 수정일 2014.11.20
  • [운영체제] 생산자 소비자 문제
    printf("producer produced %d\n", item);}/* release the mutex lock */pthread_mutex_unlock(&mutex);/* signal ... printf("consumer consumed %d\n", item);}/* release the mutex lock */pthread_mutex_unlock(&mutex);/* signal ... 개수 */int numCons = atoi(argv[3]); /* 소비자 쓰래드의 개수 *//* 2.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.05.27
  • 응급실 간호과정 dizziness case study, 어지럼증
    대한 검사-No evidence of diffusion restricted lesions involving brain parenchyma-No evidence of abnormal signal ... : alert-trauma(-)-headache(-)-fever, chilling, 기침, 가래 없음HEENThead : headache(-) dizziness(+)eyes : redness
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.28
  • Erythropoietin, Historical Review
    proteins containing SRC homology 2 (SH2) domains Induce Epo signals; PI-3K/ Akt , STAT5, MAP kinaseArticles ... Importance of Erythropoietin is a glycoprotein hormone that controls red blood cell production Therfore ... molecules Two JAK2 activated Tyrosine residues of the EpoR are phosphorylated to provide docking sites for signaling
    리포트 | 17페이지 | 1,000원 | 등록일 2013.10.25
  • Traffic controller (using VHDL)
    is_emergency : integer range 0 to 1; -- Emergency situation variable signalsignalprev_signal: integer ... : std_logic; -- Make iRst variable signal for resetsignalsystem_clk : std_logic; -- Make system clock ... : std_logic; -- signal for establishing countersignalcounter: std_logic_vector ( 3 downto 0); -- couting
    리포트 | 20페이지 | 6,000원 | 등록일 2012.04.04 | 수정일 2014.06.01
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대