• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(263)
  • 리포트(242)
  • 시험자료(16)
  • 논문(5)

"시그널레드" 검색결과 101-120 / 263건

  • 배구
    포인트를 줌세 번째퇴장예로, 레드카드 한손에 ... 소기(30x30cm)를 사용하여 신호하여 신호하므로 자기 임무를 수행한다.서버가 서비스 시 라인을 감시하며 반칙시 시그널 한다.주심의 요청 시 신호를 되풀이 한다.경기 방법경기는 ... 위치하여 경기에 특정, 기타 공식기록을 작성하는 임무를 수행한다.선심선심은 엔드라인 및 사이드라인을 정확히 관찰할 수 있는 각각 코트의 네 코너에 위치하며 아웃, 인, 터치아웃을 시그널한다.선심은
    리포트 | 7페이지 | 무료 | 등록일 2014.08.03 | 수정일 2016.05.24
  • [일반화학실험]화학 발광
    따라서 fluorescence signal이 phosphorescence보다 훨씬 강하다. ... 알카리 수용액은 분해되기 쉽다.② Molecular formula :C _{6} N _{6} FeK _{3}③ Molar mass : 329.24 g/㏖④ Appearance : red
    리포트 | 10페이지 | 4,000원 | 등록일 2015.11.14 | 수정일 2020.08.01
  • 성균관대 진동및동적시스템설계실습 BJT실험 Fundamentals of Bipolar Junction Transistor and Switching Experiment
    Put simply, amplification consists of magnifying a signal by transferring energy to it from an external ... codeColorSignificant Digits(Band 1 and 2)Multiplier(Band 3)Tolerance(Band 4)Black010 ^{0}-Brown110±1%Red210
    리포트 | 17페이지 | 1,500원 | 등록일 2015.03.08
  • [6주차] Transistor의 응용 회로 실험 1 예비레포트
    }} over {I _{E}} = {alpha } over {g _{m}} = {1} over {gm} = {1} over {2.14} =0.467 OMEGA위 회로의 small-signal ... (V_{sig}(green),V_out(red))실험 2-(d)에서V_{ sig}=4V일 때,V_{sig}에 따른V_out의 변화그래프 도시. ... (V_{ i n}(green),V_out(red))실험 1-(f)에서V_{ i n}=0.25V일 때, Time축에 따른I_{ i n}과I_out의 변화그래프 도시.
    리포트 | 15페이지 | 3,000원 | 등록일 2013.10.28
  • 여성복직물개발(스포츠 브랜드 런칭)
    여기에 트위스트된 카키 , 그린 등의 작업복 컬러가 더해지고 시그널 레드 (signal red) 가 포인트로 사용된다 . 5. ... 색상은 일반적인 레드와 블루가 아닌 좀더 세련된 칼라를 B.I 의 색상으로 선탁함으로서 현대적인 감각으로 표현하였다 .3.
    리포트 | 23페이지 | 2,000원 | 등록일 2010.06.17 | 수정일 2014.08.21
  • 국제해상충돌예방규칙 해석 및 해설(COLEG 1972)
    If in doubt she may sound the signals prescribed in Rule 34(d). ... The vessel to be overtaken shall, if in agreement, sound the appropriate signal prescribed in Rule 34 ... The latter vessel may use the sound signal prescribed in Rule 34(d) if in doubt as to the intention of
    리포트 | 71페이지 | 2,000원 | 등록일 2012.01.11 | 수정일 2020.05.23
  • 시스템으로서의 LCD모니터
    Digital Input signal이 Graphic card로 전송되고 Graphic card에서 디지털 신호가 아날로그 R, G, B 신호로 변경되어 Analog Interface를 ... 핀 마다 R,G,B signal, H-sync, V-sync, power 등 핀 마다 각각의 역할이 할당 되어있다.아날로그 인터페이스는 디지털 디스플레이가 일반화 되면서 매우 비효율적인 ... 따라서 DVI를 지원하려면 TMDS Link방식의 TMDS Transmitter와 TMDS receiver라는 시그널 변환 칩셋이 필요하다.
    리포트 | 15페이지 | 2,000원 | 등록일 2010.08.17
  • 식물호르몬 연구의 현재 동향과 생장조절제 이용
    action4113112217Plant interacting with other organisms711712Gene, development, and evolution5-212Cell biology and signal ... 살포농도는 500ppm 이상이 효과적이며 만개후 2주부터 수확 30일전에 살포하는 것이 좋다(Kim et al., 1966).2-2. GibberellinA. ... Title : Low-Fluence Red Light Increases the Transport and Biosynthesis of Auxinb.
    리포트 | 19페이지 | 2,000원 | 등록일 2013.06.20
  • [경영정보론] 스마트 폰의 정의와 시장 현황, 스마트 폰의 장점과 단점, 스마트 폰의 응용분야와 대표적 기업, 스마트 폰 기술의 진화와 발전방향
    이러한 결과 스마트 폰 시장은‘레드오션’ 이 말로 정의 할 수 있다.3. ... 모바일 데이터 분석 업체인 오픈 시그널(Open Signal)에 따르면 안드로이드 스마트 폰 제조사 수가 2012년 500여 개에서 2015년에는 1300여 개로 두 배 이상 증가한
    리포트 | 13페이지 | 3,000원 | 등록일 2017.02.11
  • 디지털 시스템 설계 - Traffic signal controller 설계
    = 2Yellow = 3Red = 4BB 도로의 신호 (Control signal)Green = 2Yellow = 3Red = 4CC 도로의 신호 (Control signal)Green ... Vending Machine 설계 과제- Traffic signal controller1. ... 가지고 Traffic signal controller를 시뮬레이션 할 수 있는 각 Case들을 test 해보았다.
    리포트 | 7페이지 | 1,500원 | 등록일 2009.09.01
  • 전기전자응용실험 Chapter 9-12 Design Project: Line Tracer Pre-report
    Another thing to think about is signals that are transferred. ... The motor could also be stopped, depending on the configuration of the motor enable signal. ... radiation Sensors:This sensors are actually being used, so explanations are more descriptive below.infra-red
    리포트 | 11페이지 | 1,500원 | 등록일 2012.08.05
  • 장누벨작가연구Jean nouvel
    다양한 방식으로 조합 가능FURNITUREFURNITURE2008 Red Dot Design Award(세계 3대 디자인 대회로 제품디자인, 커뮤니케이션 디자인, 컨셉 디자인 3부분 ... )Business Center시그널 타워 (Tour Signal)Bureau시그널 타워 (Tour Signal)Hotel시그널 타워 (Tour Signal)LogementFURNITURE오스트리아 ... 이는 지속가능성이 뛰어난 건축의 전형이라고 할 수 있다.시그널 타워 (Tour Signal)한 용도로 묶인 10여개층을 위아래로 관통하는 4개의 대형 void가 디자인의 핵심이다.
    리포트 | 49페이지 | 7,000원 | 등록일 2012.12.16 | 수정일 2015.10.02
  • shock 환자 간호관리
    미생물의 신호분자(signal molecule, or toxins)가 반응을 전신적으로 나타내야 한다. ... C.O.감소로 Tissue perfusion 감소⑤ Septic shock의 단계ⓐ Warm phase(Hyperdynamic phase : Early septic shock, Red
    리포트 | 21페이지 | 1,000원 | 등록일 2015.01.04
  • [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    out std_logic);end clk;architecture behave of clk issignal tmp_clk : std_logic_vector(18 downto 0); --시그널 ... SW 5개속도에 따른 연료 소비 표현Toggle SW 3개시계 선택 및 시간 설정50MHz 클럭동 작 클 럭output 77개용 도핀 주소Character LCD주제 표현LED (RED ... 스톱워치 기능 선택일정 시간 연료 소비량 계산시 이용6) CharacterLCD*** Character LCD를 사용한다.LCD : 캐릭터 LCD로 주제명 표현7) 스위치 위치*** RED
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • IMPLEMENTATION OF TFT-LCD
    So we should save five last input sequence and all the signals should be delayed since a filtered signal ... This process delays the input signal by five steps then this is used to implement FIR filter. ... Because the number of coefficient every filter, we always should have five sequence input signal.
    리포트 | 28페이지 | 1,500원 | 등록일 2010.10.09
  • Smart TLC
    hg, hy, hr, lg, ly, lr, pg, pr; //light outputreg hg, hy, hr, lg, ly, lr, pg, pr;input c, p; //input signal-car ... 'd4,S5=3'd5,S6=3'd6,S7=3'd7;reg [2:0] state; //internal state variablesreg [2:0] next_state;//reset signalalways ... delay`define R2GDELAY 5 //red to green delay`define cardetect 1module sig_control(c, p, hg, hy, hr,
    리포트 | 11페이지 | 1,500원 | 등록일 2010.10.09
  • FT-IR Experiment
    한 걸음 더 나아가서 이런 검출계에 도달한 signal을 증폭시키고 그 후 digitization하여 원하는 signal로 얻기 위해서는 충분한 능력을가진 장치를 갖추어야 한다.▶ ... 좋은편이다.▶ 검 출 계- FT-IR의 경우엔 분산형 IR의 경우와 달리 검출계에 도달하는 infrared radiation과 radiation으로 인해 검출계로부터 발생하는 전기적인 signal과 ... interferometermoving mirror가 각각의 측정위치로 step-wise 형식으로 이동하는 interferometer를 말한다. moving mirror는 He-Ne laser signal
    리포트 | 11페이지 | 2,500원 | 등록일 2008.07.29
  • 내 아들의 연인
    말을 한다. `현대인의 삶에서 직업과 존재는 서로 영향을 미친다. 직업 자체가 인간성을 보여줄 수도 있다`이 말에 대해 단순히 직장에서 사무직에 종사하는 사람은 이해하기 힘든 요소가 있다. 보통 우리는 일이라는 핑계로 시간 떼우기를 하고 있을 수도 있다는 말이다. 돈을..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.02.24
  • EMG Fundamental principles
    is amplified differentially compared to when the same signal is presented in common mode; commercially ... Filters-a device with the capability of excluding undesired signals outside the frequencies of interest ... presensted to the amplifier ; unwanted differences aremagnified and not canceled.- difference-mode signal
    리포트 | 19페이지 | 2,000원 | 등록일 2010.06.16
  • 소아암환자의 항암치료중 영양불량 및 비만의 원인과 cancer survivor 의 diet
    gastric motility and emptying modulation: direct effect on gastrointestinal system: regulatin satiety signal ... yellow vegetables쥬스 섭취시, 100% furit 이나 채소 쥬스, 하루에 4 ounces(114.3g)로 제한milk/diary저지방유제품저지방 유제품meat가공되거나 red ... meats 소비 제한, 생선이나 가금류 섭취 늘리도록red meat 섭취를 제한하고, fish, 가금류, beans으로 대체Fibergood sources : beans, vegetables
    리포트 | 5페이지 | 1,500원 | 등록일 2013.05.08
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:10 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대