• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(105)
  • 리포트(87)
  • 방송통신대(8)
  • 시험자료(6)
  • 자기소개서(4)

"자판기 논리회로" 검색결과 61-80 / 105건

  • [컴퓨터시스템/IT/전자] 디지털 논리회로
    조합논리회로의 예는 가산기, 감산기, 코드변환기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서 등이 있다. ... 가산기(0) 반가산기반가산기는 2개의 2진수 A와 B를 더하여 합과 캐리를 산출하기 위한 조합 논리회로이다. ... 인코더는 입력 부호의 종류에 따라 이에 대응된 2진 부호를 만드므로 자판에서 A라는 문자를 누르면 ASCII 코드인 경우 1000001이라는 2진 코드를 만들 때 사용할 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2009.05.19
  • 미래사회와 정보기술 중간고사 타이핑 1-4주차 (학점교류) / 용량초과로 나눠서 올립니다. 따로 공부하지 않고도 A 받았습니다! (강의내용 깨알같이 다 받아적었어요!!)
    x로 변경하라, 현재 상태를 유지하라, 테이프 칸에 A를 기록하라, 테이프 칸의 내용을 그대로 유지하라, 헤드를 왼쪽으로 이동하라, 헤드를 오른쪽으로 이동하라, 기계를 멈추어라· 자판기 ... 섀넌, 논리 회로를 만들다· 섀넌 Claude Shannon, 1916-2001- 미국의 과학자- 정보이론의 창시자- 디지털 논리회로 설계아날로그 컴퓨터를 연구하던 섀넌은 부울 논리학의 ... 논리수식을 릴레이로 구성된 전자회로로 구현하는 방법(릴레이를 직렬 또는 병렬로 연결하여 논리수식을 회로로 구성할 수가 있음) 제시.논리학의 이론으로만 연구되던 부울 논리식, 전자부품을
    시험자료 | 99페이지 | 3,000원 | 등록일 2016.09.02
  • 순차회로 설계 - FSM 결과보고서
    과 목 : 논리회로설계실험과 제 명 : 순차회로 설계 - FSM담당교수 :담당조교 :학 과 : 전자전기공학과학 년 : 3학 번 :이 름 :제 출 일 : 2014. 5. 29논리회로설계 ... 무어 머신을 이용한 커피 자판기 설계(1) 개요- 스위치1 : 100원, 스위치2 : 50원- 현재 state : LED 출력S1 - 1개, S2 - 3개, S3 - 5개, S4 - ... bounce 현상이 일어나는 것(chattering)에 대한 원인을 파악하고 그 해결방법을 익힌다.- 배운 내용을 바탕으로 chattering 현상을 고려하여 실생활에 활용 가능한 커피 자판기
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 전전컴설계실험2-9주차결과
    자판기동작회로를 Synthesize - XST Compile 과정을 거쳐 Compile한다3. 자판기동작회로의 I/O PIN을 설정4. Implement Design을 실행5. ... 검색된 FPGA 모듈에 자판기동작회로Logic이 설계된 bit 파일을 프로그래밍한다.12. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 자판기동작회로를 설계한다..2.
    리포트 | 18페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 디지털회로 설계의 기초 6장 연습문제 풀이(설계문제 제외)
    제어하기 위한 회로를 설계하시오.1) 사탕 자판기의 기능? ... 플립플롭을 설계하시오.sol)6.8 그림 6.12의 2번과 6번 게이트의 입력에 비동기 입력인 클리어 단자를 연결하시오.sol)6.9 두 클럭 전의 입력과 같은 출력을 갖는 동기 순서논리회로를 ... BABI000111100xx111xx10JB = I + AABI0001111000xx111xx1KB = BABI000111100x11x1x11xY = I'AB6.11 다음과 같은 사양을 갖는 사탕 자판기
    리포트 | 9페이지 | 1,000원 | 등록일 2007.12.01
  • 전전컴설계실험2-9주차예비
    자판기동작회로의 Test Bench 파일을 생성한다.8. ... 자판기동작회로를 Synthesize - XST Compile 과정을 거쳐 Compile한다3. 자판기동작회로의 I/O PIN을 설정4. Implement Design을 실행5. ... 검색된 FPGA 모듈에 자판기동작회로Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 간단한 자판기 설계
    FSM FSM (Finite State Machine)은 디지털 회로의 동작순서를 결정하는 제어신호를 발생하는 순서 논리 회로이다.FSM은 조합논리회로와 레지스터로 구성되는데, 외부 ... 입력과 레지스터 출력은 조합논리회로의 입력으로 연결되고, 조합논리회로의 출력은 외부 출력과 레지스터 입력에 연결된다.레지스터가 갖는 값이 상태(State)를 의미하며 유한한 개수를 ... 상태가 더 많이 필요하므로 레지스터의 비트수가 증가하는 반면 2개의 조합논리회로로 구성되므로 적어진다.
    리포트 | 16페이지 | 7,000원 | 등록일 2009.12.23
  • 영상보안 분석업체 검토
    일본에는 얼굴인식 카메라를 달고 있는 자판기도 있다.다음과 같은 사례가 있다.. 범죄 추적에도 사용되는데 2013년 ‘보스톤’ 마라톤 대회에서 얼굴 인식으로 범인을 잡았다.. ... 기존의 폐쇄회로 TV가 아니라 네트워크 카메라다. 카메라가 촬영한 감시 영상을 저장하고 전송을 한다. ... 이런 논리다.보안은 결합이다.여러 가지 방법의 장점은 결합하고 단점은 감추는 것이 중요하다.다음은 ‘드론 비즈니스’ 150쪽에 나오는 말이다.PAGE \* MERGEFORMAT2
    리포트 | 3페이지 | 1,000원 | 등록일 2016.05.20
  • Verilog HDL 언어를 이용한 음료수 자판기 설계
    0] Money; //배출할 돈의 양reg[4:0] state;//현재상태reg[4:0]next_state;//다음상태..FILE:ppt/slides/slide6.xml4.설계내용자판기 ... ^^by Clock조원 일동..FILE:ppt/slides/slide28.xml7.팀원 역할 분담프로젝트 총괄 및파워포인트 작성자판기 상태 설계 및 도면 작성HDL코드와Simulation을 ... 저는HDL코드로 구현하는 작업을 담당하였습니다.평소에C언어에 관해서는 자신감이 있고 잘 하는 분야라 비슷한분야인HDL코딩을 맡았습니다.처음 작성시,무에서 유를 창조하는 느낌이였지만도서관의 자판기
    리포트 | 29페이지 | 3,200원 | 등록일 2010.12.29
  • 전자전기컴퓨터설계실험2(전전설2)7주차예비
    State machine은 우리 일상속에서도 많이 사용되는 회로로 관련 제품들(자판기 등)에 대ne ... Clk의 경우는 assign 문에서 볼 수 있듯이 up과 down의 xor논리로 되어있음을 알 수 있다. ... 실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 순차회로를 이해하고 순차회로 중 플립플롭 회로에 대해 이해한다.2
    리포트 | 17페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 디지털로직실험 12장 멀티플렉서를 이용한 조합 논리
    예를 들면 TV리모컨, 전자레인지의 강약 조절, 자판기, 밥솥 기타 등등 셀 수 없이 많이 사용되어지고 있다. ... 실험12멀티플렉서를 이용한 조합 논리● 실험 목표□ 멀티플렉서를 사용하여 비교기와 패리티 발생기 구성 및 회로 테스트.□ N-입력 멀티플렉서를 사용하여 2N개의 입력을 갖는 진리표 ... 그림 2는 멀티 플렉서의 논리 회로를 나타낸 것이며, 예를 들어서 설명을 해보면 C?
    리포트 | 8페이지 | 4,500원 | 등록일 2013.06.22 | 수정일 2021.02.02
  • [Flowrian] 커피 자판기 회로의 Verilog 설계 및 시뮬레이션 검증
    검증- 최상위 자판기 회로 : 구조수준 Verilog 설계 및 시뮬레이션 검증Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다. ... 커피 자판기 회로는 10개의 모듈로 구성된다.- 12 비트 레지스터 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 8 입력 12 비트 멀티플렉서 : RT 수준 Verilog ... 설계 및 시뮬레이션 검증- 커피 제조 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 자판기 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션
    리포트 | 56페이지 | 2,000원 | 등록일 2011.09.24
  • [최신/합격] 문서실무사 1급 필기 주요 총정리 (2급 포함)
    취급 유형에 따라1) 디지털 컴퓨터- 용도 : 사무용 및 개인용- 연산 : 사칙연산 및 논리연산- 구성회로 : 논리회로- 기타 : 이산적인 데이터(숫자, 문자)를 입력하여 숫자, 문 ... 기본 입력장치1) 키보드- 문자 입력에 사용되는 컴퓨터 표준 입력장치- 자판 배열에 따라 2벌식 3벌식영문은 QWERTY와 DVORAK자판2) 마우스- 그래픽 사용자 연결(GUI)방식으로 ... 판독 입력 장치1) 광학 마크 판독기(OMR)- 컴퓨터용 싸인펜 등으로 표시한 부분에 빛을 비추어 반사되는 표시를 판독- 객관식 시험 답안용으로 많이 쓰인다.2) 광학 문자 판독기(
    시험자료 | 34페이지 | 1,500원 | 등록일 2014.07.13
  • [회로 실험] Vending machine
    Vending MachineAbstract ) 순서 논리를 응용하고 플립플롭의 적당한 사용과 먹스를 사용하여 50원, 100원이 들어갈 수 있는 자판기를 설계해 본다.ObjectivesSequential ... [실험] (여기서부터 Prelab 수행)실험 개요Sequential Vending Machine 설계실험 순서문제의 이해 및 제약 조건이 자판기는 100원 짜리와 50원 짜리 동전만 ... 사용가능한 TTL 은 7474(DFF), 74151(8-1 MUX) 와 기본 논리 게이트들(NAND, NOT 등등) 이다. 칩의 개수가 최소화되도록 회로도를 그린다.
    리포트 | 14페이지 | 5,000원 | 등록일 2005.09.25
  • 마이크로프로세서의 발전 과정과 컴퓨터 산업에 기여한 점 그리고 최신동향을 A4 용지 2페이지 이내로 자세히 서술하라.
    내부는 산술 논리 연산기, 레지스터, 프로그램 카운터, 명령 디코더, 제어회로 등으로 구성되어 있다. ... 반도체 집적도의 확기적인 발전은 반도체 회로 공정 기술의 미세화에 의한 것으로 이를 무어의 법칙(Moore’s Law)으로 설명하기도 한다.인텔사V 단일전원의 사용이다. ... 예를 들면 자판을 사용하지 않는 경우에는 절전모드로 들어가는 형태였는데 칩의 형태는 PLLC를 띄고 있다.486SX은 118만 5천개의 트랜지스터로 16, 20, 25, 33MHz
    리포트 | 9페이지 | 2,000원 | 등록일 2013.03.09
  • 전자계산기
    컴퓨터의 세대별 특징을 표를 이용하여 설명 하라.세대분류논리회로 및 하드웨어컴퓨터회로회로구성연산속도기억용량주기억장치보조기억장치입력장치출력장치1진공관시대? 진공 관? ... 컴퓨터의 기본 구성을 블록으로 표현하고 각 장치를 간단히 설명 하라.1) 입력 장치: 자료 또는 정보를 입력하는 장치로서 자판기 , 마우스 등이 있다.2) 중앙 처리 장치: 컴퓨터의 ... 소형이면서도 대규모로 집적된 VLSI를 이용한 빠른 장치로 시스 템에 요구되는 속도를 얻을 수 있다.: 대규모 병렬처리 시스템의 구조: 논리적 추론 연산 기능: 논리 프로그래밍, 인공지능
    리포트 | 7페이지 | 1,500원 | 등록일 2012.02.05
  • 논리회로2
    설계 7 세그먼트 (seven segment) 자판기나 간판 , 계산기 등의 조건적 , 논리적 사고가 필요할 때 사용되어지는 논리회로 대표적 실용사례7. ... 조합 논리회로 반감산기 - 한 비트씩의 데이터 피감수 X 와 감수 Y 의 2 진 뺄셈 수행하는 논리 회로5. ... 조합 논리회로 전감산기 - 입력 데이터 X,Y 한 비트 아래의 자리내림수 B i 3 개의 데이터 2 진 뺄셈 요구하는 논리 회로6.
    리포트 | 73페이지 | 3,000원 | 등록일 2011.07.31
  • 생활속의컴퓨터교육북리뷰
    어려운 논리회로나 프로그램 구조나 동작원리 등을 배우려고 하면 정말 골치 아플 때가 많다.책의 표지를 보니 녹색 바탕에 컴퓨터를 하는 사람들의 사진이 포함되어 있다. ... 하나를 선택하는 것으로 여러 가지 음료수 중 하나를 선택해 마시는 자판기로 설명할 수 있다.자동차는 여러 가지 부품이 결합되어 이루어진 완제품이라 볼 수 있다. ... 논리게이트 또한 실생활의 예로 설명할 수 있는데 가스밸브와 스위치로 하나가 열리거나 두 개다 열리거나 등 4개로 표현하여 설명 할 수 있고 선택기라고 하는 멀티플렉서는 여러 회선 중
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.12
  • 논리회로설계실험 프로젝트 - vhdl을 이용한 ATM기 설계
    서비스를 제공하는 ATM기를 설계해아 한다. ... ATM기의 기능(입금, 출금, 송금, 계좌조회 등)을 수행하는데 필요한 소스 코드를 구성해야 한다. ... ATM기 설계(Final-term Team Project)1. Purpose학기 수업 중 배웠던 VHDL의 이론을 바탕으로 프로그램을 구현한다.
    리포트 | 20페이지 | 3,000원 | 등록일 2009.06.24
  • 씽킹브레이커 독후감
    이 책은 항상 이성과 논리로만 판단하며 변화를 두려워하던 나의 두뇌회로를 파괴시켰다. ... 아이디어라는 것은 자판기 커피처럼 동전을 넣는 순간 정해진 프로세스에 의해 컵에 담기는 것이 아니다. 하지만 생각을 깨는 작업은 말처럼 쉬운 일이 아닌 것 같다. ... 과거에는 창의적인 사고보다는 논리적인 사고가 더 대접을 받았던지라 의문을 품고 도전을 하는 사람보다 시키는 일을 잘하고 배운대로 하는 사람이 더 뛰어난 사람인것처럼 받아들여지곤 했다
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.19
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대