• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment / Decoder" 검색결과 61-80 / 396건

  • 실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력의 ... 먼저 실험 3)과 같이 TTL IC 7476 JK FF을 사용하여 이진계수기(4bit, 16modulus)를 만들었고 그 때의 출력을 TTL IC 7447(BCD-to-7 segment ... 7 segment의 출력을 확인해 본 결과 0에서부터 9까지 차례로 바뀌고 10이상의 숫자에서는 숫자가 이상하게 깨지며 나왔다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서12 stopwatch 설계
    이런 이유로 7-segment를 활용한 실습을 진행시 먼저 type을 확인해야 한다.- BCD to 7-Segment decoder디지털 회로의 ... 출력은 대게 2진수로 표현되며 이를 10진수 방식인 7-segment로 바꾸어 주 기 위해서는 decoder가 필요하다. 10개의 숫자를 표한하기 위해서는 적어도 4개의 비트를 필요로 ... 하며 7-segment로 출력하기 위해서는 출력 핀이 7개가 필요하다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.09.08 | 수정일 2022.09.16
  • [A+] 중앙대학교 아날로그 및 디지털 회로 설계실습 예비보고서 12. Stopwatch 설계
    이후 Decoder7-segment 사이에 330 [Ω]의 저항을 달아 과전류를 방지하고, decoder의 출력 방식과 7-segment LED의 type 간의 매칭을 유의하며 ... 0 ~ 5 [V]의 square wave의 clock 신호를 만든다. ... (A) 12-4-1 기본적인 클럭 생성 회로 및 카운터 회로 테스트Function generator를 이용하여 실습서와 동일하게 Frequency는 1 [Hz], Amplitude는
    리포트 | 5페이지 | 1,000원 | 등록일 2023.02.06 | 수정일 2023.02.09
  • 시립대 전전설2 A+ 8주차 예비레포트
    bcd 입력을 받아서 single 7 -segment FND를 제어하는 8-bit 신호(‘점’을 포함) out을 출력하는 모듈 ‘fnd_decoder()’을 디자인하시오. ... (시뮬레이션 및 장비 검증)입력: input [3:0] bcd -> 0000 ~ 1001 (Bus SW로 입력)출력: output [7:0] out -> single 7-segment ... 커지면 다시 0으로 초기화.reset이 1일 경우, cnt_scan의 값을 0으로 초기화.cnt_scan의 값이 0~7을 반복하여 8 array 7-segment의 각 위치를 빠른
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 서강대학교 디지털논리회로실험 3주차 결과보고서
    실험목적1) 일반적인 binary decoder의 동작 원리를 이해한다.2) 7-segment decoder의 동작원리를 이해한다.3) Encoder의 동작원리를 이해한다.4) 표시장치 ... 일반적으로 입력이 출력보다 적고, enable신호가 존재할 경우, 이 신호들에 따라 정해진 기능을 동작한다. 7-segment decoder(74X49)는 이번 실험에서 사용하는 소자인데 ... , 4개의 display가 존재하며 하나의 원하는 패턴을 표시하기 위해서는 해당 7-segment display에 연결된 FND_COMMn 신호를 high상태로 유지하고 나머지 3개의
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 ... 쓰시오-BI/RBO 핀이 Low 일 경우BI/RBO 핀이 Low 일 경우 어떠한 입력에 대해서도 모든 7개의 segment가 꺼져있다. ... -LT 핀이 Low이고 BI/RBO 핀이 High 일 경우LT 핀이 Low이고 BI/RBO 핀이 High 일 경우 다른 입력 핀과 관계없이 모든 7개의 segment가 점등된다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 실습 12. Stopwatch 설계 예비보고서
    )를 통해 7-segment에 연결하고 7-segment사이에 저항(330Ω)을 달아 과전류를 방지 - ... 단, 회로도를 그릴 때, VCC, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.BCD to 7-segment decoder(MC14511B ... 실습준비물부품Inverter 74HC04 : 8개NAND gate 74HC00 : 3개NOR gate 74HC02 : 3개AND gate 74HC08 : 3개OR gate 74HC32 : 3개7-
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.19
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    응용실험(2)는 BCD to 7-segment decoder 7447을 이용하여 7-segment 5161에 특정한 숫자를 표시하는 실험이었다. 7-segment는 매우 낮은 저항값을 ... segment decoder 7447을 이용하여 7-segment 5161에 숫자 7를 표시하시오.그림 a. 4.2.2 logic works 결과※ 7-segment 5161을 오래 ... 표기되므로 이상한 값이 출력된다.사진 12. 4.2.4 실험 - BCD to 7-segment decoder 7447사진 13. 4.2.4 실험 - 기판의 7-segment2.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... 이때 Decoder7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 ... in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample of ud_and4 ... AND in4;end sample;--define and2ud_not1.vhdlibrary ieee;use ieee.std_logic_1164.all;entity ud_not1 isport
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    이를 10진수 방식인 7-segment LED 로 바꿔 주기 위해 Decoder 를 사용해야 한다.일반적으로 7-segment decoder 는 0~9 까지 10개의 숫자를 나타내기 ... 7-segment LED 를 사용하는 것이 편리하며 이때 MC14511B 의 출력을 바로 7-segment LED 의 입력에 인가하면 된다. ... 만약 common anode 7-segment LED 를 사용하는 경우 MC14511B 칩과 7-segment 사이에 인버터를 삽입해주어야 원하는 방향으로 동작한다.Stopwatch
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다.1. 7-segment decoder 설계7-segment 설계에서는 하나의 segment LED에 0에서 9까지 ... 이 값은 Dynamic 7-segment Decoder를 구성하는 코드를 똑같이 작성하여 값을 출력하게 하였다. ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. ... 실험 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하여 ... 구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... 따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표3. 7 segment Controller 구현다음의 그림과 같이 7 세그먼트 컨트롤러를 ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 12. Stopwatch 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    테스트생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 이때 Decoder7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.BCD 카운터로 74HC192를 사용하였기 때문에 count up mode로 동작시키기위해서 CPu단자에는 ... 실습준비물부품Inverter 74HC048개NAND gate 74HC003개NOR gate 74HC023개AND gate 74HC083개OR gate 74HC323개7-Segment3개BCD
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
  • 디지털 논리실험 3주차 예비보고서
    따라서 7을 표시하기 위해서는 ABCD 순으 로 (1,1,1,0)을 입력해야 한다. 1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 ... 인코더는 반대로 정보를 암호화하는 역할을 한다. 1.3 기본 실험 (3)에서 7을 표시하기 위한 입력 ABCD가 무엇인지 설명하시 오. 7을 2진수로 나타내면 0111이다. ... 1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오. 2비트의 정보를 입력 값으로 받아 4개의 출력 값을 가지므로 기본 실험 (1)의 회로는 2-bit 복호기이다
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 아날로그및디지털회로설계실습예비보고서10-7-segment, Decider 회로 설계
    실습목적7-segmentDecoder를 이해하고 관련 회로를 설계한다.2. ... 실습 준비물저항 330Ω, 1/2W, 5% : 8개Decoder 74HC47 : 1개Inverter 74HC04 : 8개7-segment : 1개switch : 4개 ... 원하는 숫자를 나타낸다. 7-segment LEDsms common cathode type과 common anode type이 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.29
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 8주차 예비+결과(코드포함) Application_Design_I 7-segment and Piezo_Control
    I.INTRODUCTION본 실험은 7-segment와 dynamic 7-segment, 그리고 PIEZO buzzer를 설계한다. 7-segment에 사용되는 decoder를 설계해보고 ... , 이러한 이해를 바탕으로 3개의 7-segment로 구성된 동적 7-segment를 설계한다. ... 그리고 마지막으로 PIEZO buzzer를 설계함으로써 verilog 코드를 통한 응용을 학습한다.II.7-Segment, Piezo buzzer, dynamic 7-segment
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 충북대 기초회로실험 인코더와 디코더 회로 예비
    인코더와 디코더 회로(예비보고서)실험 목적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 ... BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진 숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한 BCD ... LTS5422R(Seven segment), Power supply, Oscilloscope실험(1) 다음 그림의 회로를 구성하고, 진리표를 구하여 표로부터
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대