• 통큰쿠폰이벤트-통합
  • 통합검색(396)
  • 리포트(387)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)

"7-segment / Decoder" 검색결과 141-160 / 396건

  • 시립대 전전설2 [8주차 예비] 레포트
    전자전기컴퓨터설계실험 Ⅱpre-report8주차: 7-segment, Piezo1. Introduction (실험에 대한 소개)가. ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... .8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요하다7-Segment Decoder7-Segment Decoder2.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 4bit adder, 7segment decoder 디지털회로실험보고서
    브레드보드에 정상 작동 확인 후 만능기판에 납땜.WinCupl : Atmel Version 사용법File->New->Project 클릭 Name->segment(예)적는다.INPUT ... Wincupl을 설정 후 7Segment decoder 코딩.2. 브레드보드에 우선적으로 7Segment decoder를 프로그래밍한 PLD와7Segment를 꼽고 확인.3. ... 4bit adder, 7segmet decoder실험보고서Subjectː디 지 털 회 로 실 험ProfMajorStudent No.NameDate실험이론8개의 스위치를 4개씩 한 조를
    리포트 | 14페이지 | 1,000원 | 등록일 2012.12.01
  • 시립대 전전설2 [8주차 결과] 레포트
    이번 실험과 저번 실험의 가장 큰 차이점으로는 7-segment 디코더를 이용하여 LED에 숫자가 나오도록 설정을 하는 것이었다. 7-segment 디코더의 진리표를 토대로 저번의 ... 전자전기컴퓨터설계실험 ⅡPost-report8주차: 7-segment, Piezo1. Introduction (실험에 대한 소개)가. ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 연세대 전기전자 기초실험 chapter. 8 (2017년판) 예렙+결렙
    In 7-segment LED, decoder is used. ... operation is used. 7-segment is mentioned above. ... We made 7-segment LED, The number 8 can be expressed using seven LEDs.
    리포트 | 13페이지 | 1,500원 | 등록일 2018.07.17
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    설계과정BCD TO 7-SEGMENT DECODER의 설계 과정은 다음과 같다.(1) BCD TO 7-Segment Decoder의 회로를 구성한다.(2) 구성된 회로를 PADS LOGIC에 ... 명제▶ BCD TO 7-SEGMENT DECODER를 설계하고 설계된 LOGIC을 실제로 구동하여 2진 입력을 받았을 경우 구상한 진리표대로 작동하는지 확인한다. ... 설계 결과 보고서BCD TO 7-SEGMENT DECODER 설계Group:Subject:Professor:Major:Student Number:Name:Due Date:목 차1.명제12
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • [예비 및 결과레포트] 숫자표시기와 응용
    세그먼트 디코더/구동기 (7-segment decoder/driver)BCD 코드가 주어지면 이것으로 7-segment display의 LED들 중 적절한 것을 켜주어 해당숫자가 표시되도록 ... 하여 주는 IC를 BCS-to-7-segment decoder/driver라고 부르다.그림 5는 7446/7의 핀 배치를 보여주고 있으며 그림 6에 7446/7 driver를 통해 ... 개요숫자표시기는 보통 7-세그먼트 표시기( 7-segment display)라 불린다. 이는 일상적으로 다지털 방식으로 십진수 숫자를 표시하는 데에 널리 사용되고 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.04.18
  • [예비레포트] 숫자표시기와 7447, 응용
    BCD-to-7-segment decoder/driver라고 부른다. ... (7-segment decoder/driver)BCD 코드가 주어지면 이것으로 7-segment display의 LED들 중 적절한 것을 켜주어 해당 삿자가 표시되도록 해 주는 IC를 ... 예비 레포트숫자표시기와 응용1.개요숫자표시기는 보통 7-세그먼트 표시기(7-segment display)라 불린다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • [A+] 연세대학교 원주캠퍼스 의공학부 기초실험(2) 11주차 REPORT
    to 7 segment decoder7-segment LED Display를 이용하여 2진수를 시각적으로 확인하는 방법에 대해 알아보는 것이었다. ... BCD-7segment Decoder는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 Decoder라 명명되었지만, 실제로는 4비트 입력을 출력 a~g 총 7비트 코드로 ... anode type의 7 segment를 사용하였다.
    리포트 | 3페이지 | 5,000원 | 등록일 2018.01.09 | 수정일 2021.10.31
  • [예비레포트] 숫자표시기와 응용
    BCD-to-7-segment decoder/driver라고 부른다. ... -세그먼트 디코더/구동기(7-segment decoder/driver)BCD 코드가 주어지면 이것으로 숫자표시기의 LED들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하는 IC를 ... 실험 이론-7-세그먼트 표시기(7-segment display)숫자표시기는 일곱 개의 방광다이오드(LED, light-emitting diode)로 이루어진 표시창으로 일곱 개의 LED
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    7-segment 계산기 구현실험결과1. 4bit Binary-to-BCD Convertor 구현[표 1] Line Decoder[그림 1] Line Decoder를 이용한 Binary-to-BCD ... BCD-to-7 segment 구현[표 2] BCD-to-7 segment 진리표[그림 3] BCD-to-7 segment 시뮬레이션 결과 (입력 : A | 출력 : P,P10)3. ... ;endendmoduleBCD-to-7 segment 테스트벤치 코드7-segment Controller는 클럭과 리셋이 포함되어 7-segment를 제어 할 수 있다. 10의 자리까지
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • BCD To 7Segment Decoder 설계
    본론4.1 설계 내용IAR프로그램을 이용하여 7segment의 가산 및 감산, 홀수 및 짝수 카운터를 설계한다. ... 1.명제1.1명제 : BCD to 7Segment Decoder & Atmega1282. ... 이번 설계에서는 2개의 스위치를 이용해 Up-Down a 및 Odd-Even으로 7-Segment 수의 변화를 결정한다.4.2.3 7-Segment7-Segment는 Atmega와
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • 설계과제1 BCD 가산기
    ) Package Body 1 - BCD Adder 함수3) Package Body 2 - BCD to 7 segment Decoder 프로시져4) BCD 가산기 Main(2) 테스트 ... 실험 내용- 실험 1. 8비트 BCD Adder와 BCD to 7 segment Decoder를 각각 모듈화하여 BCD 가산기를 작성하시오.(1) 소스코드1) Package 선언부2 ... 예비 이론(1) BCD to 7 segment Decoder[그림 1] 7 segment 7 segment 표시장치는 LED (Light Emitting Diode) 또는 LCD (
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • 전자공학실험1 예비(7장)
    간단한 방법이 7-segment이다. ... 디코더와 멀티플렉서목적디코더와 디멀티플렉서의 기능과 동작원리, 7-segment 디코더의 동작 원리를 익이호 7-segment LED에 display하는 모양에 따라 디코더를 설계할 ... display 소자에 1011=b를 표시해 보라.abcdefgb*************000111111021001000실험1. 7447 : 7-segment decoder
    리포트 | 8페이지 | 1,000원 | 등록일 2012.04.08
  • 디지털논리회로 엘레베이터 제어기 설계
    지나가는 층 수는 7 segment에 표시되어야 한다.• 엘리베이터는 초당 한층 씩 움직일 수 있다. ... 세그먼트 디스플레이(7) 74138 Decoder3. ... segment가 있다. • 엘리베이터가 없는 층에서 엘리베이터를 부르면 엘리베이터는 한 층씩 이동하여 엘리베이터를 부른 층으로 이동한다. • 엘리베이터가 이동하는 동안 엘리베이터가
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... 7-segment 계산기 구현기본지식1. ... 따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표 3. 7 segment Controller 구현 < SevenSeg_CTRL 사용
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 11장 7segmentDecoder 회로 설계
    -3 7-segment 구동 회로 설계Decoder7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서11-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... 실습목적7-segmentDecoder를 이해하고 관련 회로를 설계한다.11-2.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • [예비레포트] 숫자표시기 아두이노
    LED들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하여 주는 IC를 BCD to 7 segment decoder/driver라고 부른다. ... 그림3이 정논리 동작이라 한다면 그림4는 LOW일 때 켜지기 때문에 부논리동작이라 할 수 있다.7-세그먼트 디코더/구동기 - BCD코드가 주어지면 이것으로 7segment display의 ... #include int main() {int size=sizeof(int)*8;int i, n;printf("정수: ");scanf("%d", &n);for(i=28;i>(size-i
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • 디지털시스템실험 3주차 결과보고서
    Binary to BCD converter(1) 코드 및 설명module binary2BCD(segment, ten, one //변수 설정);input [7 : 0] segment ... Binary-to-BCD Convertor 설계한다.실험결과1. 3 to 8 Decoder(1) 코드 및 설명module decoder3to8(A0,A1,A2,D0,D1,D2,D3 ... //reg를 사용하면 wire과는 다르게 always에서 reg값 변경이 가능reg [3 : 0] one;integer i; //for문에 사용할 변수 I를 정의always @(segment
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    커패시터 양단의 전압은 threads hold로 사용된다.지연 시간 W =1.1 *RC 식으로서 구할수 있다.(5)74ls47 7segment-74ls47의 진리표BCD코드 4자리를 ... 제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의 ... 입력받아 그 입력 값을 알아보기 쉬운 10진의 숫자로 표현이 가능한7-Segment로 넘겨주는 Decoder IC를 이용하여 숫자를 표시하였다.
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    Decoder를 통해, 7-segment에 숫자 표시위와 같은 방식으로, 계속 Carry 신호를 분 단위, 시간단위의 Clock 신호로 연결을 하면 된다.이번 프로젝트는 초단위 디지털 ... 7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다.위와 아래에 사각형 모양으로 두 개의 가로 획과 ... 그림에서 알 수 있듯이 Jk Flip-Flop 4개로 구성되어 있으며, 이렇게 내부에 들어있는 JK Flip-Flop을 통해서 74ls48 Decoder7-Segment로 구성되어
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:42 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대