• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(146)
  • 리포트(143)
  • 시험자료(3)

"FND세그먼트" 검색결과 61-80 / 146건

  • 아주대학교 논리회로실험 설계 에비보고서
    .- 각각의 세그먼트는 만, 천, 백, 십, 일의 자리를 표시한다.- 기준 clk은 100Hz로 Divide하여 사용한다.- 프로그램 시작 시 00000에서 key0 신호를 받아 99999까지 ... 구현에 사용하는 7-segment FND의 Datasheet는 아래와 같다.3번과 8번 단자는 Common Anode로써, 이 단자에 LOW가 들어갈 경우 Segment에 불이 들어온다 ... Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment FND
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    실생활에서는 키보드가 그 예이다(5) FND(Flexible Numeric Display)FND 또는 7-세그먼트 표시기 라고도한다. ... 여기서 막대 모양의 LED 하나, 하나를 세그먼트(Segment)라고 하며, LED 가 7개 사용되므로 7-세그먼트라고 이름이 붙여졌다. ... BCD->7세그먼트 디코더, BCD->10진수 디코더 등으로 자주 쓰인다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 메카트로닉스 실험 예비 보고서 실험5
    걸어주어야 하고애노드 커먼(Anode common)형은 com 단자에 (+) 전압을 걸어주어야 한다.(2) 7-segment 의 종류와 하드웨어 구성에 대해서 조사하시오.D, 일명 7 세그먼트는 ... Flexible Numeric Display)의 종류에 대해서 조사하시오.FND 500, FND 507, FND 508 등이 있는데, 실습할 때에는 각 종류마다 핀의 배열이 조금씩 ... 메카트로닉스 실험 예비 보고서실험제목 : I/O 포트와 7-Segment 구동 실험제출일자 : 2012년 12월 6일제출자 : 기계공학과 20828067 전영제예비조사(1) FND(
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.18
  • 8051 디지털 알람 시계 프로젝트 보고서
    .}}}}} // a, b, c 각 자리가 0일 경우 세그먼트를 끄기 위해 FF를 넣는 코드. ... 값이 0일 경우만 F를 넣어줌으로써 세그먼트를 끄게 만들었고 값이 있는 경우그대로 출력한다.3-5. ... _p2 = x;_p0 = y;_p1 = z;}코드 설명3개의 값 a, b, c를 세그먼트 6개에 출력하기 위한 함수이다.
    리포트 | 28페이지 | 3,500원 | 등록일 2015.11.09 | 수정일 2015.12.14
  • 실험4 프로젝트 보고서 ( 소스 해설 필수자료 ) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    여기서 막대 모양의 LED를 세그먼트라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라는 이름이 붙여졌다. 7 세그먼트의 종류로는 애노드 공통형과 캐소드 공통형이 있다. ... (케소드 커먼) 또한 7447은 항상 +5V로 출력하다가 0V신호를 주어서 FND를 동작시키고, 7448은 항상 0V로 출력하다가 +5V신호를 주어서 FND를 동작시킨다. ... 공통단자가 VCC에 연결되는걸 사용해야하고(에노드 커먼), 7448은 FND의 공통단자가 GND에연결되는걸 사용해야한다.
    리포트 | 29페이지 | 3,500원 | 등록일 2014.12.16
  • 광전소자의 특성실험 예비+결과레포트
    공통 에노드 타입과 공통 캐소드 타입의 FND 의 사용법에 대해서 설명하시오.공통 애노드 타입은 모든 애노드가 공통으로 연결되어 있으며 +공급 전압원에 공통으로 연결되어있다. ... , 세그먼트 구동을 제어하는 세그먼트 구동제어부와 표시장치를 구동제어하여 문자나 숫자를 표시하는 제어부들을 구비하므로, 어느 한 LED 고장시에도 나머지 LED군의 작동을 유지시킬 ... 이것은 LED에 기반을 둔 기술인데, LED 고장 발생시 문자 또는 숫자의 형태가 유지되며 중복된 LED 군을 구비한 다수의 세그먼트로 이루어진 표시부, 이 표시부를 다수 구비한 표시장치
    리포트 | 2페이지 | 1,000원 | 등록일 2014.10.24
  • FND I/O 응용 및 실습
    FND I/O 응용 및 실습■ FND 실험의 목적7 segment에 1,2,3,4,5,...순차적으로 카운트 하는 프로그램을 작성하고자 한다.■ 7 segment 란?? ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.실물사진세그먼트 구성 및 숫자 표시7세그먼트 ... 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 아래와 같은 모양을 가지고 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2008.05.31
  • Term Project (7세그먼트 실험) 보고서
    실험목표7세그먼트(FND)에 대해 알아보고 7세그먼트 디코더로 작동하는 논리회로를 구성하여 동작을 실험하고 결과를 관찰한다.2. ... 실험이론1) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 표시하고 1개의 LED로 점을 표시한다. ... 실험에 사용할 회로도와 결과 사진의 회로를 비교해 보면 FND에 연결된 풀업저항이 없는 것을 볼 수 있는데 자료를 조사해본 결과 FND에는 풀업저항이 꼭 필요한 필수적 사항이 아닌
    리포트 | 13페이지 | 2,000원 | 등록일 2013.05.07
  • VHDL을 이용한 digital watch 설계
    표기하므로 그 외는 111111로하여 세그먼트를 끄도록만든다. ... 카운트한 시간을 왼쪽의 7-세그먼트 표시장치로 디코딩하여 숫자를 표시한다. ... ⓑRTLⓒ시뮬레이션시뮬레이션으로도 10~15는 111111로 세그먼트를 끄도록하는것을 확인할 수있다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    .- 각각의 세그먼트는 만, 천, 백, 십, 일의 자리를 표시한다.- 기준 clk은 100Hz로 Divide하여 사용한다.- 프로그램 시작 시 00000에서 key0 신호를 받아 99999까지 ... 구현에 사용하는 7-segment FND의 Datasheet는 아래와 같다.3번과 8번 단자는 Common Anode로써, 이 단자에 LOW가 들어갈 경우 Segment에 불이 들어온다 ... Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment FND
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • atmega128 유료주차장요금계산기(결과보고서-소스코드, 실행사진 포함)
    차량번호와 주차시간을 7-SEGMENT로 나타내줄 FND Module가 있습니다. ... , 0x27, 0x7F, 0x6F, 0x80};//세그먼트int cnt=0;unsigned char timer0Cnt=0;//타이머int time_s[8],time_m[8];//타이머unsigned ... 과제 결과)#include#include#includeunsigned char FND_DATA_TBL []={0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D
    리포트 | 15페이지 | 50,000원 | 등록일 2014.06.13 | 수정일 2018.12.11
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다. ... (FND) 10개DM74LS47 (BCD to 7 세그먼트 디코더) 10개DM74LS90 (Binary Counters) 10개DM74LS04 (NOT 게이트) 1개74LS76A ... 아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • IC 칩을 이용한 수위계측기 최종보고서
    ~G까지의 각각 이름을 붙이고 도트가 있는 경우는 ‘Dp’라는 별도의 이름을 부여한다.7-segment의 고유한 특징 때문에 몇가지 특성이 있는데, 그중 하나가 A~G까지 각각의 세그먼트들은 ... 7-segment는 다양한 숫자의 모양을 표현할 수 있도록 LED를 숫자 형태로 배열하고 원하는 LED만을 점등하여 숫자를 표현하게끔 만든 재미있는 소자를 7-segment(또는 FND라고 ... segment7-segment는 다양한 숫자의 모양을 표현할 수 있도록 LED를 숫자 형태로 배열하고 원하는 LED만을 점등하여 숫자를 표현하게끔 만든 재미있는 소자를 7-segment(또는 FND라고
    리포트 | 8페이지 | 1,000원 | 등록일 2014.12.23
  • 빛에의한업다운회로
    4.7K1전해콘덴서10uF174LS4718PIN110K1LED적색274LS1921어레이저항330(14P)7100K1LED녹색2TIMER ICNE5551저항(1/4W)471반고정저항1M1포토트랜지스터OS1817세그먼트FND50714703다이오드1N40021스위치PBSW1IC
    리포트 | 2페이지 | 1,000원 | 등록일 2013.10.04
  • LED ON,OFF
    후 실행하고 실행된 결과에서 출력 패턴의 변화가 구동소프트웨어1에서와 어떻게 다른지를 기록한다.측정 및 기록(1) 첫 번째 프로그램 패턴(2) 두 번째 프로그램 패턴검토사항1. 7세그먼트 ... [10] = { /* 7-세그먼트에서 숫자:0~9를 만들기 위한 배열선언*/0xc0, 0xf9, 0xa4, 0xb0, 0x99,0x92, 0x82, 0xf8, 0x80, 0x90}; ... 보자.< 0~9까지 무한 반복 프로그램 >#include#include#includevoid delay(int i){ while(i --);}const unsigned char FND_TABLE
    리포트 | 4페이지 | 1,000원 | 등록일 2013.05.24
  • 빛차단에의한5진계수정지회로
    33010포토트랜지스터OS18174LS9018PIN24.7K1트랜지스터2SA5092TIMER ICNE555127K2LED적색3정전압 IC78L051저항(1/4W)21마일러콘덴서0.1UF2기판28x6217세그먼트FND50713010.33UF1IC
    리포트 | 2페이지 | 1,000원 | 등록일 2013.10.04
  • 디지털회로실험 10장. 표시소자의 디코더 회로
    위치를 표시하는 것은 가상 어드레스이며, 이것을 지정하는 데는 페이지의 맨 처음에서 그 위치까지의 사이에 있는 바이트 수로 지정한다.실험 방법1. 74LS47 및 LED 표시기 FND807의 ... 각 세그먼트는 몇 개의 페이지로 분할된다. ... 시점에서 다음에 실행하는 세그먼트를 호출한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.04.07
  • GPIO 이용 FND LED 켜기, 내부 메모리와 레지스터 이해 [결과보고서]
    FND : Flexible Numeric Display- FND 또는 7-세그먼트 표시기라고도 한다. 0~9까지의 숫자를 표시하기 위해 만들어진 전자 소자로 그림과 같은 모양을 가지고 ... GPIO로 FND LED 켜기가. ... 또한 FND LED 동작원리도 함께 습득한다. 내부 메모리의 동작 방식을 이해하고 프로그램이 실행되면서 내부 메모리들을 어떻게 사용하는지 이해한다.2. 이론1.
    리포트 | 8페이지 | 1,500원 | 등록일 2012.07.03
  • 비동기카운터
    접속한다.구분품명규격수량측정기 및 도구직류 안정화 전원장치DC 5V,2A1대브래드 보드WBU206/2081대오실로스코프2CH, 20MHz1대실험 재료IC74LS762개IC74LS471개LED 표시기FND8072개전해콘덴서22uF ... 비동기 회로를 먼저 구성하여 7세그먼트 LED표시기 D,C,B,A에 연결해주어 세그먼트에서 0~9까지 출력을 확인해 8진 비동기 카운터의 동작을 확인하는 실험 이였다.8진 비동기 ... .- 8진 비동기 카운터를 구성하여 세그먼트 LED에 표시되는지 확인한다.실험이론카운터란?
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.26
  • 실험4 프로젝트 소스분석 C++ 8051 코드만 분석한 자료
    FND(7-Segment)의 출력을 담당하는 함수인데, 인자로 세 개의 변수를 받아들인다. ... 이런 식으로 b와 c도 y와 z로 만들어서 x는 포트2(5,6번째 세그먼트), y는 포트0(3,4번째 세그먼트), z는 포트1(1,2번째 세그먼트)에 할당하여 출력한다. ... 마지막으로 세그먼트 출력함수에 값을 넘긴다.
    리포트 | 12페이지 | 2,500원 | 등록일 2014.12.16 | 수정일 2015.10.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:33 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대