• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(146)
  • 리포트(143)
  • 시험자료(3)

"FND세그먼트" 검색결과 121-140 / 146건

  • 마이크로 과제2
    첫 번째 과제에는 세그먼트가 뭔지 토글스위치가 무엇인지 소스가 어떻게 돌아가는지 잘 몰라서 오랜 시간이 걸렸다. ... 무한 반복문에서while(1){PORTC = fnd[interrup_count];cli();delay(1000, 1000);sei();}}딜레이 사이에 cli();와 sei();를 ... 7-segment- 7개의 세그먼트를 이용해서 숫자를 표시하는 장치? 도선브레드 보드위의 부품들을 연결한다(푸시 스위치, 토글스위치, 저항 등)?
    리포트 | 11페이지 | 1,000원 | 등록일 2012.04.01
  • AVR을 이용한 인터럽터 구현 및 타이머 카운터 구현 실험레포트
    /delay.h"unsigned char FND_SEG[11] = {0x3f, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7C, 0x27, 0x7F, 0x67,0x3f ... };//세그먼트 넘버 할당double temp = 0; //전역변수 설정int i=1, j=0, k=1; //숫자제어 전역변수 j=0이면 증가, j=1이면 감소, k는 int5용ISR ... 0x00;//INT4가 LOW 레벨에서 인터럽트를 불러드림sei(); //Global interrupt를 enable}2.실험2기본적으로는 오버플로우를 이용한 타이머 인터럽트에 따라 세그먼트
    리포트 | 11페이지 | 3,000원 | 등록일 2010.06.09
  • 논리회로 설계 및 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 및 구현
    연결하였다. 74LS47 와 FND 사이에 저항 330 1/4W을 한 개씩 연결하고, LED에는 68 1/4W을 연결하였다. ... 전원공급의 문제인 것 같은데 아직 확실한 답을 찾지 못했다. 9V의 전원을 연결하였고, 브레드보드로 다른 세그먼트를 켜보니 켜지는 것으로 확인 전지의 문제는 아닌 것 같다. ... Javabreadboard simulator를 이용한 실험72표1 주파수와 주기 환산표14표2 초 단위 카운터 출력16표3 디코더 입출력 데이터19표3 제작일정21그림 1 부품_세그먼트5그림
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • 디지털시계 보고서
    시간을 신호 나타낸 다음에서 이 신호를 사람이 볼 수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다. ... 먼저 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 등이 필요하다. modulo-N 카운터의 종류는 2진 카운터, 6진 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.그러나 우리는 BCD to 7 세그먼트 디코더(7447)를 AND, OR 게이트로 설계를
    리포트 | 14페이지 | 2,000원 | 등록일 2008.12.12
  • 실과 부품기호 조사
    )있다.저항, 콘덴서, 인덕터 등등으로 복잡하게 구성되어 있는 전자회로를 통합, 집적해서 한 chip으로 만든 것.29AC플러그없다.어댑터 연장 케이블.30볼륨없다.음량 조절.31FND ... (7 세그먼트)있다.- 숫자 표시 가능.- 간단한 영어도 가능.32이어폰있다.코일에 음성전류를 흘려서 진동판을 작동시킨다.33딥 스위치없다.하드웨어의 기능 변경.34푸시 스위치없다.손으로
    리포트 | 4페이지 | 2,000원 | 등록일 2009.12.20 | 수정일 2020.06.19
  • VHDL을 이용한 Digital clock project 기획안
    시간을 신호 나타낸 다음에서 이 신호를 사람이 볼 수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다. ... 아래그림에 나타낸 디지털시계의 전체 블록 도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.▷ 디지털시계 전체 블록도[ 설계 개요도 ]④ 문제점 도출 및 해결 방안1.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.04.10
  • [프로세서] PIC 16F877발표 자료
    지정PORT B - 세그먼트 값 출력DISPLAY: NOP ;세그먼트 1 MIN FND_CHK0: MOVLW .0 XORWF FND_NUM, W BTFSS STATUS, Z GOTO ... RETLW B'11000000' ;세그먼트 0 RETLW B'11111001' ;세그먼트 1 RETLW B'10100100' ;세그먼트 2 RETLW B'10110000' ;세그먼트 ... 3 RETLW B'10011001' ;세그먼트 4 RETLW B'10010010' ;세그먼트 5 RETLW B'10000010' ;세그먼트 6 RETLW B'11111000' ;세그먼트
    리포트 | 8페이지 | 1,000원 | 등록일 2005.06.26
  • Lab(4) 7 Segment LED Display 실습
    (예) FND500, SND510, SND513, SND610, HDSP5303? ... (예) FND507, SND517, SND617, SND5161, HDSP5301? ... 다음 그림과 같이 위에서부터 시계방향으로 a, b, c, d, e, f, g 세그먼트와 dp(소숫점)의 8개▷7-segment 핀 번호:?
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.23
  • 8051을 이용한 7-segment
    < 실 험 결 과 보 고 서 >1.첫 번째 실험제목 : 7세그먼트 동작시키기 ( 2-2-3 )(1) 배경이론 및 관련이론→ 7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며 ... 각 세그먼트의 ON되는 속도를 관찰하여 보자. ... 아래 [ 그림1-1 ]은 7세그먼트 표시 장치의 구성을 나태내는 그림입니다.[ 그림1-1 ][그림 1-2]7세그먼트 표시기는 애노드 공통형(common-anode type)과 캐소드
    리포트 | 9페이지 | 1,000원 | 등록일 2008.10.10
  • 로직웍스를 이용한 논리회로 구현
    이용하여 임의의 10진수를 표시하는 회로다. 7447의 8번 핀은 접지하며, 16번 핀은 +5V의 전압을 인가한다. 7-세그먼트는 공통 애노드형인 FND 507을 사용하고, COM ... 10000000110001100011100001011010001010100100101011111100101111000110000100678900000111111111000110111111110010110111111100111110111111010011110111110101111110111101101111110111011111111110111000111111110110011111111110④아래 그림은 디코더/드라이버인 7447 IC와 7-세그먼트
    리포트 | 42페이지 | 1,500원 | 등록일 2010.04.28
  • AVR의 I/O Contol에 대한 실험레포트 입니다.
    /delay.h"/* 0~9까지 세그먼트 값 표시 */unsigned char FND_SEG[10] = {0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7C, ... 학습한다.2.Name(조원)3.Abstract1) 맨 왼쪽만 0부터 9까지 순서대로 카운트하는 프로그램을 작성하여 AVR보드를 이용하여 확인한다.2) 왼쪽부터 9씩 카운터 된 후 다음 세그먼트
    리포트 | 11페이지 | 3,000원 | 등록일 2010.06.09
  • 24진 디지털시계
    일반적인 FND 모양의 라이브러리가 없어 새로 작성3. ... DECODER110011110019111111100018000011111107111110101106110110110105110011000104100111111003101101101002000011010001011111100000g1f1e1d1c1b1a1DCBA출력(세그먼트 ... DECODER와 FND의 연결 - DECODER의 핀배열을 달리하여 선의 연결을 간단히 할 수 있음. - 모든 IC 동일 적용 가능함2.
    리포트 | 14페이지 | 5,000원 | 등록일 2006.11.17
  • [공학기술]VHDL을 이용한 주차 관리 시스템 (졸업작품)
    표본 작품은 주차공간에 센서를 부착하여 주차공간의 유무를 LED에 표시함으로써 주차 시 불필요한 시간낭비를절약하고자 하는데 목표가 있다..PAGE:4H/W 회로도4쌍의 센서입력 부FND ... ..FILE:필요한부품들.txt오실레이터 4M세그먼트74LS47LM3247805C = 470YF저항 : 20 , 4.7K , 330 , 470 , 가변저항10K센서 = 발광 EL-1KL3수광 ... PAGE:5시뮬레이션 결과..PAGE:6작품 결과 1..PAGE:7작품 결과 2① 사진에서 1번 주차 공간에 승용차가 주차되면센서는 이를 감지해② 현황 판의 1번 표시등을 점등하고 세그먼트에는
    리포트 | 9페이지 | 1,000원 | 등록일 2007.06.20
  • 디지털 시계 설계에 관하여
    시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다. ... 아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더와 7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다.
    리포트 | 14페이지 | 2,000원 | 등록일 2008.07.02
  • BCD To 7Segment Decoder 설계
    작성한 Source에 따라 fnd의 출력이 변함.(2) LDelay : Segment의 led를 육안으로 식별할 수 있도록 시간을 지연시키는 함수.(3) Init_Sw : Port의 ... 컴파일 시켜 에러 및 경고의 여부를 확인한다.4) AVR 프로그램을 이용하여 작성한 소스를 Atmega128 KIT에 주입시킨다.5) 1차 설계를 통해 만든 pcb 기판을 연결하여, FND의 ... 실험시간을 통해 여러 가지 예제소스를 직접 구현해 보고 이를 응용하여 자신이 원하는 대로 FND의 출력을 설정하고, 또한 Switch소자를 사용하여 좀 더 심화적인 프로그램을 구현해
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • 논리회로 실험 - 디코더, 인코더 결과보고서
    IC7447은 7세그먼트 LED를 드라이브할 수 있게 active lo. ... -실험에 대한 고찰7 segment 라 함은 FND의 LED가 켜지는 구간이 7개 이므로 붙여진 이름이다. ... 실험에서 LT를 5V로 하였다가 접지를 시키게 되면 function 테이블에서처럼 출력은 모두 on되어 led의 7세그먼트가 모두 발광을 하게 된다.
    리포트 | 12페이지 | 1,000원 | 등록일 2008.02.25
  • PIC를 이용한 디지털 시계 소스 & 회로도
    ,W; 현재 출력할 세그먼트를 결정해서MOVWFPORTA; 포트A로 보내준다MOVFSEL_FND,WCALLLEDCALLFND_TABLE; 그 값을 FND_TABLE에서 BCD로 바꾸기위해 ... ; SEL_FND를 다음 세그먼트로 설정한다RETURNLEDANDLW0FHADDWFPCGOTOLED0GOTOLED1GOTO LED2GOTOLED3GOTOLED4GOTOLED5LED0MOVFLED_HOUR1 ... 호츨MOVWFPORTB; 현재 FND에 값을 출력MOVLW.5; FND가 6개이므로 6번째를 가르키는; 디코더 입력값이 .5SUBWFSEL_FND,WBTFSCSTATUS, ZFGOTOSEL_FND_RESETINCFSEL_FND
    리포트 | 19페이지 | 1,000원 | 등록일 2004.12.02
  • [디지털 입력과 출력] 디지털 입력과 출력
    TTL 74LS47(BCD-to-7세그먼트 디코더) 칩을 이용한 숫자 표시 회로로 나타낸다.스위치 SW1~SW4를 이용해 입력에 따라 출력이 7세그먼트 표시기에 나타나는 숫자이 모양을 ... ■실험장비: LED 6개, FND 1개, PUSH 스위치 3개, 딥 스위치 1개, IC LS7408N 1개, IC 74LS00N 1개, 74LS113D 1개, LM555CN 1개,
    리포트 | 4페이지 | 1,000원 | 등록일 2005.08.31
  • [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터
    시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다. ... 아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.▷ 디지털 시계 전체 블록도② CAD 프로그램의 사용법을 익힌다.③ 설계된 회로를 이용한
    리포트 | 11페이지 | 1,000원 | 등록일 2005.12.10
  • 디지털 시계 사업 계획서
    시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다. ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7 ... 아래그림에 나타낸 디지털시계의 전체 회로도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 등이
    리포트 | 19페이지 | 1,000원 | 등록일 2007.03.11 | 수정일 2018.07.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대