• 통큰쿠폰이벤트-통합
  • 통합검색(2,245)
  • 리포트(2,062)
  • 시험자료(102)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 841-860 / 2,245건

  • ILM 가설 장비
    중간 정착부 동시타설로 내부 작업 공기와 공사비가 감소되고, 내부 작업시 발생하는 폐기물과 분진이 없음.- 변지간 적용이 용이하도록 개선된 거푸집을 사용하여 강교 수요를 대체함.7. ... 격벽부 중심과 교좌장치 중심 불일치 : 각 세그먼트의 단축량을 미리 예측하여 타설길이 조정하여 방지6. ... 50m 경간 : 큰 정모멘트 유발로 1개소 정도 가교각 설치함4.ILM 공법 세그먼트 제작 시공절차5.ILM 공법 시공시 주의사항?
    시험자료 | 2페이지 | 1,500원 | 등록일 2012.11.11
  • 디지탈시계 디자인
    디지털 시계를 설계하기 위해서 시간 표시를 위한 7-세그먼트 표시기와 MOD-3, MOD-6, MOD-10 카운터 등이 필요하다.디지털 시계 설계 프로젝트는 수업에서 공부한 기본적인 ... 그리고 조원들끼리 협력하여 프로젝트를 수행하는 기회를 가진다.목 적개 요MOD 카운터 설계 및 발표준비와 PPT 작성 AM / PM, 24시간 설정모드 설계팀 원 활 동7-세그먼트 ... 세그먼트 decoder 및 display 설계 AM/PM 세부 구성 모듈 및 시간 설정모드 설계네번째 모임(12. 2) 24시로 표시되는 모드 추가 및 전체적인 회로 수정 및 보완
    리포트 | 19페이지 | 1,000원 | 등록일 2012.12.04 | 수정일 2021.11.19
  • 인공지능 관련기술
    딥러닝 기술 이전에는 주로 질병 발생과 직접적인 연관성이 있는 것으로 밝혀진 단백질 코딩 세그먼트(전체 유전자 정보의 1.5%에 해당) 내의 변이만을 분석 대상으로 했다. ... 제품별 수요 예측을 통해 각 지역에 위치한 물류 센터에 재고를 미리 확보하고, 배송 시간을 획기적으로 단축시키는 등의 방법으로 기존 온라인 유통의 개념을 근본적으로 바꾸고 있다.(7)
    리포트 | 11페이지 | 2,000원 | 등록일 2017.12.29
  • 성인간호학 실습 흑색종 케이스 malignant melanoma
    현저한 변화 없음 :1)RUL과 RLL의 안부에있는 여러 개의 작은 주변 결절2)RLL의 작은 석회화 육아종3)LLL의 기저 세그먼트 후방의 기루4)갑상선 우엽에 1.7 X 0.6 ... 8 9 10mm우 1 2 3 4 5 6 7 8 9 10mm반응: 빠름■ 느림□ 무반응□눈뜨기 반응: 자발적(4) 언어자극시(3) 통증자극시(2) 무반응(1)언어반응: 지남력 있음 ( ... 사람행동/의사소통의 적절성기억력기억력 장애: 예□ 아니오■ 최근 과거감각과거의 환경제한시각장애 무■ 유□ 장애원인통증□ 염증□ 분비물□ 백내장□ 기타□시력 Rt 0.6 Lt 0.7
    리포트 | 34페이지 | 2,000원 | 등록일 2017.03.13
  • 호텔정보시스템 구축사례 (경주 코모도호텔)
    (2억)월보수료54만80만110만380만500만도입날짜88.184.1287.891.284.7인 원4(240만)2(160만)4(260만)3(210만)7(455만)FRONT80%75%75% ... 분리되어 소규모의 PC-LAN이 설치되어 있으며 모뎀과 광 리피트(Repeater)를 이용하여 광케이블(Fiber Optical)로 CIFS의 통신 세그먼트와 연결되어 있다. ... Lantastic을 사용하고 있다,PC전용서브는 486PC를 사용하고 있으며 서브에는 레이저 프린트와 고정자산관리의 이미지 입력용인 VCR이 연결되어 있다.골프 CIFS에도 통신 세그먼트
    리포트 | 9페이지 | 2,500원 | 등록일 2015.03.24
  • 논리 게이트를 이용한 세그먼트 제어
    ) x 3 74ls08(and).pdf74LS32(2-Input OR) x 5 74ls32(or).pdfToggle Switch x 47-Segment(Anode type)x 1 7segmentdatasheet.pdf
    리포트 | 5페이지 | 2,000원 | 등록일 2008.11.13 | 수정일 2023.10.19
  • ATmega128, LM35f를 이용하여 온도계 만들기와 Fan작동 시키기
    스위치를 누르면 섭씨 (C) 온도가 화씨 (F) 온도로 보여진다 . 0~24 도 25 도 26 도 27 도 28 도 29 도 30 도회로 구성품 ATmega128 7- 세그먼트 3 ... 세그먼트 , Fan 을 동작시길 수 있게 되었다 . ... LM35 온도센서에서 나오는 전압 값은 매 순간 마다 바뀌기 때문에 값이 불안정하여 세그먼트에 깜박임이 많아 평균화를 통하여 값을 안정화 해주었다 . 10 비트인 ADCW 를 10
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.17
  • 자판기 설계
    S1S0'a=c=d=f=S1'S0+S1S0'b=e=S1S0'g=S1'S0a=b=c=d=e=f=1g=0(b) 디코더 논리식다음에는 자판기 이용자가 동전을 투입하면 현재까지 입력된 금액을 7세그먼트 ... 표시비고S1S0abcdefgabcdefgabcdefg0000000000*************010000000101101111111101001100001111110111111011000000000000001111110don't care(a) 7세그먼트 ... 50표시)3) 50원 스위치로 커피 출력 (적색 LED on)4) 잔돈 출력 (녹색 LED on)5) 100원 스위치 on (세그먼트에 100표시)3.
    리포트 | 11페이지 | 1,500원 | 등록일 2012.03.07
  • 논리회로-13주차
    전체적인 실험에 대한 고찰 7실험 준비물Bread boardIC chip전선7-세그먼트(logic unit 내 부착)탐 구 보 고 서목 적3초과 코드(excess-3)를 BCD 코드 ... 05. 28담 당 교 수도재수 교수님소 속컴퓨터멀티미디어 학부학 번성 명제 출 일2013. 05. 31동국대학교Dongguk university. since 1906목 차실험 : 7과 ... 1000일 때, 5(0101_{2}) 출력E_{3}E_{2}E_{1}E_{0}이 1001일 때, 6(0110_{2}) 출력E_{3}E_{2}E_{1}E_{0}이 1010일 때, 7(
    리포트 | 7페이지 | 1,500원 | 등록일 2015.11.12
  • 마이크로프로세서-LED on off 4가지동작
    1P1 = 0x1f ; delay (30000) ; // 0 0 0 1 1 1 1 1P1 = 0x3f ; delay (30000) ; // 0 0 1 1 1 1 1 1P1 = 0x7f ... P1 = 0x00 ; delay (30000) ; // 0 0 0 0 0 0 0 0P1 = 0xff ; delay (30000) ; // 1 1 1 1 1 1 1 1P1 = 0x7f ... 0 1) sw2 ()함수 실행else if(p3_val == 11) sw3 (); //3번 스위치가 눌린경우(1 0 1 1) sw3 ()함수 실행else if(p3_val == 7)
    리포트 | 5페이지 | 1,000원 | 등록일 2010.03.13 | 수정일 2016.08.27
  • 전기전자 기초실험
    7-세그먼트에 위의 표와 같이 디지털 시계를 표현 할 것이다.위와 같은 블록다이어그램은 우리가 원하는 바를 잘 표현하고 있다. ... 여러 개의 카운터를 지나면서 오차가 발생 했을 것이고 여기서 나온 출력 값을 입력 값으로 받아들여 7-세그먼트에 출력이 나오게 되는데 이러한 과정에서 어느 정도의 오차가 발생하는 것은 ... We will display the clock by using the seven 7-segment.
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.27
  • atmega128 유료주차장요금계산기(결과보고서-소스코드, 실행사진 포함)
    , 0x27, 0x7F, 0x6F, 0x80};//세그먼트int cnt=0;unsigned char timer0Cnt=0;//타이머int time_s[8],time_m[8];//타이머unsigned ... 차량번호와 주차시간을 7-SEGMENT로 나타내줄 FND Module가 있습니다. ... 그러면, 시간이 카운트되면서 7-segment에 차량번호.시간(분단위).시간(초단위)로 나타난다.
    리포트 | 15페이지 | 50,000원 | 등록일 2014.06.13 | 수정일 2018.12.11
  • [9주차] DIGITAL CLOCK
    Segment그림 . 7-Segment의 동작 Pin및 Note상황7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 ... 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다.다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 장치의 모습이다그림 . 7-Segment의 표시장치
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • [기출 2001년~2017년]정보처리기사 필기 정리
    즉, 디그리가 0인 노드(ex에서 7)Q: 깊이가 5인 이진트리에서 가질 수 있는 최대 노드 수? ... 사용할 수 있도록 하는 기술2가지: 페이징(Paging) 기법, 세그멘테이션(Segmentation) 기법② 세그먼테이션은 프로그램을 여러 개의 블록으로 나누어 수행한다.③ 각 세그먼트는 ... 수를 제한.제품 검토의 집중성.의제의 무제한성 d5과목용어: Hyperlink "http://www.ktword.co.kr" http://www.ktword.co.kr*[OSI 7
    시험자료 | 54페이지 | 5,000원 | 등록일 2018.05.18
  • 디지털 시계 (회로도,부품리스트,동작원리,발표자료,이미지)
    십진수를 표시하는 가장 일반적인 출력장치는 7-세그먼트 디스플레어이이다. 7개의 세그먼트들은 그림2에서처럼 a부터 g까지 이름이 정해져 있다. ... 모든 세그먼트가 켜지면 8이 표시될 것이다.7-세그먼트 디스플레이는 빛을 내는 얇은 필라멘트가 각 세그먼트를 구성하고 있다. ... 그림3에서는 이러한 세그먼트들이 어떻게 조합하여 십진수를 표시하는지를 보여준다. 예를들어, 세그먼트 a, b, c,가 켜지면 7이 표시된다.
    리포트 | 56페이지 | 1,000원 | 등록일 2011.11.22
  • 휴대폰에서 나이를 발견하다. 싸이언(CYON) 롤리팝
    와인폰 성과 및 성공비결 7. ... 마케팅설문조사 실시와 목적 조사이유 LG CYON 세그먼트 마케팅 사례를 직접적으로 분석하기 위함 설문조사 기간 2010 5.20~24 설문조사 대상 10 ~20 대 , 40 대이상 ... 발견 작은 화면 과 작 은 키패드 가 주된 불만요인 비슷한 디자인과 기능에서 벗어나 고객의 욕구 를 파악 그에 맞는 제품 개발 – “ 롤리팝 ” “ 와인폰 ” LG CYON 의 세그먼트
    리포트 | 60페이지 | 3,000원 | 등록일 2014.03.31
  • [IT와 경영정보시스템 공통] (1) 컴퓨터 시스템에서 데이터 통신을 위한 다양한 프로토콜들이 존재한다. 이들 중에서 실제 사용되지는 않았으나, 국제표준화 기구에서 제정한 규범적 모형(reference model)인 OSI 7 Layer에 대하여 간략히 설명하시오.
    즉, 물리 계층(계층1)은 케이블 종류, 신호 유형, 신호 레벨, 신호 주기, 전송률, 케이블 세그먼트 제한 및 물리적 커넥터 유형(wall Kack, 광케이블 커플링, BNC 커넥터 ... 물리적인 접속을 위한 규격에서 업무 처리에 필요한 규격까지 7개의 계층으로 나눠 통신에 필요한 처리 기능을 체계적으로 정리했다. 7개의 계층은 물리/데이터링크/네트워크/트랜스포트/ ... OSI 7 Layer1. 통신 프로토콜의 정의2. OSI(Open System Interconnention) 정의3.
    방송통신대 | 5페이지 | 4,500원 | 등록일 2015.03.22 | 수정일 2018.09.05
  • 의료용 고분자재료 기말대비 정리
    의료용 고분자재료 final 대비 summary제7장. ... 폴리머 세그먼트와 용매 분자 사이의 2차적인 힘이 강하면, 중합체 분자들은 강하고, 중합체 분자들은 용액 속에서 잘 용해된 형태를 가질 것이라고 가정.② Poor solvent: δ1
    시험자료 | 8페이지 | 1,500원 | 등록일 2018.10.31
  • 뉴페러다임브랜드 매니지먼트 16장정리
    새로운 시장과 세그먼트와 관련 있으면서 그것을 이해나는 새로운 매니저를 영입할 필요가 있다.로컬브랜드는 스스로 너무 제한한다. ... 글로벌 브랜드와 보편적 진실3.아인덴티티에 실체를 부여하라4.국내와 국제적 포지셔닝을 분리하라5.지역과 국가 선택하기6.시장에 접근하기7새로운 카테고리 창출하기8기존 카테코리 세분화하기9브랜드 ... 체계에대한 합의된 기술서4단계 공통의 시각적 단면들, 그래픽 처터, 포장 차터, 과고 표현의 차터에 대한 정의5단계 공통의 광고문안 전략에 대한 정의6단계 공통의 광고 집행에 대한 정의7단계
    리포트 | 4페이지 | 1,000원 | 등록일 2013.06.08
  • 마이크로 과제3
    그래서 세그먼트는 숫자를 표시하게 된다. ... 먼저 세그먼트 부분이었다. 교수님께서 설명한 계산방법으로 10ms를 계산하고 SIGNAL(SIG_OVERFLOW0)를 사용하여 세그먼트를 표시했다. ... 빵판 구성▶LED OCR0 PB3에 LED1번 연결 OCR1A PD5에 LED2번 연결OCR2 PD7에 LED3번 연결 OCR1B PD4에 LED4번 연결▶PA0 ~ PA7 까지 7
    리포트 | 17페이지 | 1,000원 | 등록일 2012.04.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:18 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대