• 통큰쿠폰이벤트-통합
  • 통합검색(2,244)
  • 리포트(2,062)
  • 시험자료(101)
  • 자기소개서(37)
  • 방송통신대(31)
  • 논문(7)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"7세그먼트" 검색결과 801-820 / 2,244건

  • VHDL 계산기 소스코드 및 보고서
    Background위의 회로가 이번실험에 사용하게될 회로로써 가장 왼쪽 7세그먼트 아랫부분에 있는것이 숫자를 입력할수 있게하는 DIP_SW로써 총 4비트가 사용가능하고 이 스위치가 ... downto 0);data_out : in std_logic;addr : in std_logic_vector(4 downto 0);data : in std_logic_vector(7 ... end data_gen;architecture Behavioral of data_gen istype reg is array( 0 to 31 ) of std_logic_vector( 7
    리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • BMW GROUP 현황과 미래 - 기술력과 디자인의 조화 [BMW GROUP Present and Future-Harmonization of technical design]
    시리즈의 6 세대 : 럭셔리 세그먼트의 새로운 벤치 마크 iPERFORMANCE 와 BMW i 는 - 제품 포트폴리오의 균형을 ROLLS ROYCE BMW MOTORRAD 기술 리더로서 ... 판매 사업연도 2015년 수치 . 2 , 2 4 7 , 4 8 5 + 6.1 % 1 , 9 0 5 , 2 3 4 + 5.2 % 3 3 8 , 4 6 6 + 12.0 % 3 , 7 8 ... 이동 사람들에게 영감을 브랜드 포트폴리오 : 감정적 제품에 리더십 디자인과 기술의 미래의 언어 :BMW VISION 미래의 상호 작용 BMW 비전 NEXT 이동성의 미래 BMW 7
    리포트 | 56페이지 | 5,000원 | 등록일 2016.09.05
  • 7-segment 표시를 위한 Decoder 설계
    부울식을 지정해준다. (0)when "0001" => output ... std_logic_vector (0 to 3); -- 입력은 0~3인 4개항의 논리벡터output : out std_logic_vector (0 to 6)); -- 출력은 0~6인 7개항의 ... 조건이 아닌, 논리식의 값에 따라 각 문장을 병렬 처리 하기 때문에 Decoder 설계에 유리하다.when "0000" => output
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    출력의 oS_COM은 각 segment 모듈을 켜거나 끄는 기능을 하고, Os_ENS는 각 7세그먼트의 획(LED)이다. ... BCD-to-7 segment 구현[표 2] BCD-to-7 segment 진리표[그림 3] BCD-to-7 segment 시뮬레이션 결과 (입력 : A | 출력 : P,P10)3. ... segment의 7bit 출력을 가지게 된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • [디지털시계] ★디지털시계 제작★목적,부품★회로구현방법★
    (4) 시계 초 부분 7 세그먼트 세그먼트 디코더 BCD 디코더-10- (5) 시계 분 부분 버퍼-11- (6) 시계 시 부분-12- (7) 요일 ,AM/PM 3to8 디코더 카운터 ... 회로 구현 및 방법 ( 이전 ) (1) 전체회로도 요일 ,AM/PM 시간 분 초 스위치-7- (2) 클럭 부분-8- (3) 스위치 부분 3to8 디코더 2to4 디코더 스위치-9- ... BCD 디코더 BCD 카운터-17- (4) 시계 분 부분 세그먼트 BCD 디코더 BCD 카운터(5) 시계 시 부분 -18- 세그먼트 BCD 디코더 BCD 카운터-19- (6) 시계
    리포트 | 23페이지 | 1,500원 | 등록일 2011.12.18
  • 어셈블리어 1장 연습문제
    (a) 7 1 답: 111(b) 15 답: 1111(c) 25 답: 11001(d) 28 답: 11100(e) 33 답: 1000011.5 다음 8비트 2진수를 더하라. ... (a)세그먼트의 세 가지 종류는 무엇인가?답: 코드세그먼트, 데이터세그먼트, 스택세그먼트(b)세그먼트의 최대 크기는 무엇인가? ... (a) ASCII 문자 R 답: 52(b) ASCII 숫자 7 답: 37(c) 2진수 01110101 답: 75(d) 2진수 01110110 답: 761.11 다음 16진수들을 더하라
    리포트 | 4페이지 | 1,000원 | 등록일 2012.02.15
  • 현대자동차 분석 PPT
    1989 ‘ 엑셀’ 단일 차종 수출누계 1000 만 대 돌파5 브루몽의 악몽 89 년 캐나다 퀘백에 첫 해외 공장 설립 북미 시장 일시적 위축 일본업체들의 생산 증가로 공급과잉 D 세그먼트는 ... 이 결과 연도별 고장 건수를 과거의 10 분의 1 로 줄일 수 있었다 .이후의 현대 7 96 년 남양 연구소 준공 ‘ 티뷰론 ’ ‘ 다이너스티 ’ 97 년 입실론 엔진 독자 개발 터키 ... 현대차 그룹 본사위치 : 대한민국 판매대수 : 7 백 71 만대 고용인원 : 약 25 만명세계의 자동차 수요 12 지문에서는 수요가 6000 만대로 그쳤다고 나와있는 것으로 보아 ,
    리포트 | 32페이지 | 3,300원 | 등록일 2017.03.27 | 수정일 2018.10.01
  • TCP와 UDP의 차이점, 브리지(Bridge)에대한 이해
    1.Bridge와 OSI 7계층2.TCP, UDP의 차이과 목 명 :담당교수 :학 과 :학 번 :이 름 :제 출 일 :1.브리지(Bridge)와 OSI 7계층①브리지(Bridge)의 ... 도착지 MAC주소가 브리지 테이블에 저장이되어있을때, 목적지를 갈 때 다리를 건너도록 해줌- Filtering : 브리지가 받은 프레임의 도착지 MAC주소와 출발지 MAC주소가 같은세그먼트
    리포트 | 4페이지 | 1,000원 | 등록일 2016.06.27
  • 실험4 프로젝트 보고서 ( 소스해석 등등) 직접 작성 마이크로컨트롤러 마이크로프로세서 타이머 시계
    출력함수로 0, 0, 0을 전달하여 모든 세그먼트에 0이 표시되도록 한다.회로의 모든 버튼은 Active Low이므로 동작할 때 비트값은 0이 된다. ... 1 증가시키고 초기화되므로 cent변수는 250μ초 × 40카운트 = 10밀리초(1/100초)에 1증가되는 셈이다.timer_run변수는 이 초시계의 동작 상태를 저장하며 처음에 세그먼트 ... 연결하여 7-Segment의 출력을 제어한다.
    리포트 | 19페이지 | 3,000원 | 등록일 2014.12.14
  • 디지털공학실험 10/e 19장 결과보고서 입니다. 저자 David.M.Buchla
    110이 주 시퀀스로 반복됨을 확인하였다.그림 19-5는 좀 특이한 회로인데, 일반적인 방법과 달리 출력이 직접 7-세그먼트로 연결되어있다. 7-세그먼트 디스플레이에 나타나는 문자 ... 한 가지 단서는 7-세그먼트에 표시되는 문자가 탐정 작업과 관계된 영어단어라는 것이다. ... 잘 모르겠다면 직접 회로를 구성하고 답을 찾아보아라.⇒ 결과값이 CLUE 임을 실험을 통해서 확인하였다.7.
    리포트 | 5페이지 | 1,500원 | 등록일 2013.02.21
  • VHDL을 이용한 디지털시계설계
    세그먼트(7-Segment)7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소수점) 7-세그먼트는 발광 다이오드를 이용한 부품으로 0부터 9까지 임의의 수와 ... 그러므로 7-세그먼트를 선택할 경우에는 필요한 LED가 AC형인지 CC형인지를 확인해야한다.다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 장치의 모습이다.< 7-세그먼트 표시장치 ... 세그먼트를 사용하여 숫자를 표시- 분이나 초를 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)을 이용하여 값을 표시.- 시간은 12시간을 표시하게
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • '1초안에 떠오르는 글로벌 브랜드' 요약
    성능은 7점, 가치는 5점 등으로 가중 평균을 다르게 책정다. ... 포지셔닝 전략을 갖고 있어야 한다.가) 브랜드가 경쟁하고 비교되는 시장 프레임을 정확하게 인식하는 것나) 경쟁 브랜드와 차별화될 수 있는 핵심적인 장점을 극대화하는 것다) 타깃 세그먼트에 ... 양적 리서치 기법은 브랜드와 소비자가 연계된 강도, 호감도, 그리고 다양한 속성이나 장점들을 파헤치는 단순한 단답형 질문에 사용된다.ex) 브랜드 BMW에 대해 각 항목별로 7점 만점으로
    리포트 | 7페이지 | 1,500원 | 등록일 2017.11.19 | 수정일 2017.11.20
  • 인터넷의 이해 대구대 OSI 7계층과 TCP/IP를 비교하여 설명, DNS설명 과제
    게이트웨이전체 메시지를 발신지 대 목적지(종단 대 종단)간 제어와 에러를 관리한다.패킷들의 전송이 유효한지 확인하고 실패한 패킷은 다시 보내는 등 신뢰성 있는 통신을 보장하며, 머리말에는 세그먼트 ... OSI 7계층과 TCP/IP를 비교하여 설명하세요! ? ... OSI 7계층(OSI 7 Layer)란요약- 개방형 시스템 상호연결(Open System Intercon-nection : OSI) 모델로서, 한글 그대로 시스템 상호 연결에 있어
    시험자료 | 8페이지 | 1,500원 | 등록일 2018.03.26
  • [소비자행동론] 맥도날드 호텔 마케팅 전략
    첫 번째 맥도날드 프랜차이즈 매장을 ‘ 일리노이 주 드 플레인’ 에 세웠고 , 첫날 매상은 $ 366.12 1961 레이크록 , 맥도날드 형제로부터 맥도날드의 모든 권리를 S2.7 ... 맥도날드가 가지고 있는 강점과 브랜드 이미지에 부합하는 Mid-market with Food Beverage 세그먼트를 선택 고급화정도 심리적 친밀감 경제적 부담감 서비스 제공범위 ... 수준 이상 보증된 곳 Children Adults Families Travelers 고객 인식된 맥도날드의 이미지02 Evaluate McDonald's growth options 7웨이터
    리포트 | 17페이지 | 5,000원 | 등록일 2015.03.03
  • 네트워크개론
    표현 계층(presentation) - 데이터단위다양한 구문을 표현하거나 공통된 구문으로 변환/역변환, 압축, 암호화 역할7. ... 프로토콜 - 통신을 원하는 두 시스템 간에 효율적이고 정확한 정보 전송을 위해 두 시스템 내에 있는 각 동위 통신 실체 간에 미리 약속한 통신에 관한 제반 규정OSI - 7 Layer1 ... 전송 계층(transport) - 세그먼트단위종단 간에 투명하고, 균일한 전송 서비스를 제공전송해야 하는 시스템에게 각 패킷을 전송하는 일을 함- 다중화- 누락 data 재전송- 중복
    리포트 | 7페이지 | 2,000원 | 등록일 2013.08.20 | 수정일 2013.12.08
  • 아웃소싱 연구,사례
    하버드 대학의 크리스텐슨 교수는 와해성 혁신의 주요 목표를 후발 기업들이 시장에서 기존의 리더보다 더 낮은 가격과 성능 향상과는 전혀 다른 차별화된 요소로 새로운 세그먼트를 창출하고 ... 아웃소싱 기업사례(1) IKEA 이케아 사례(2) 풀무원 사례(3) 나이키 사례(4) 아디다스 사례7. 결론 및 나의의견1. 아웃소싱의 개념▶ 아웃소싱이란? ... 경기변동에 강한 기업체질이 구축된다.즉, 고정비가 변동비화되고 손익분기점이 낮아져서 보다 적을 매출로도 이익을 창출할 수 있으므로 저성장기에 기업의 생존을 담보할 수 있게 된다.(7)
    리포트 | 15페이지 | 5,500원 | 등록일 2017.08.23 | 수정일 2018.09.03
  • 아마존닷컴 마케팅
    아마존닷컴 마케팅 4P전략(1) Product(2) Price(3) Place(4) Promotion7. 결론 및 향후방향연구1. ... 전자상거래는 우리나라에서 일어나는 B2B, B2C 등을 포함한 전체 상거래의 35.7%를 차치하고 있고, 이 비율은 매년 증가하고 있다. ... 세그멘테이션은 고객을 속성별로 분류하여 타겟 마케팅을 하는데 빠질 수 없는 방법으로 마케터들에겐 너무도 당연한 이야기지만 베조스는 한 단계 더 나아가 오직 한 고객에게만 적용되는 세그먼트
    리포트 | 15페이지 | 5,500원 | 등록일 2017.10.26 | 수정일 2018.09.06
  • IC 칩을 이용한 수위계측기 최종보고서
    고유한 특징 때문에 몇가지 특성이 있는데, 그중 하나가 A~G까지 각각의 세그먼트들은 모두 개별적으로 연결되어 있으며, 개별적으로 저항이 연결되어 있는 점이다.4. ... 또한, 무작정 7447 IC칩에 7-Segment를 연결하였는데 9V를 주었더니 7-Segment가 견디지 못하였다. ... 7-segment(또는 FND라고 표현)라고 한다.LED 하나하나에 각각 A~G까지의 각각 이름을 붙이고 도트가 있는 경우는 ‘Dp’라는 별도의 이름을 부여한다.7-segment의
    리포트 | 8페이지 | 1,000원 | 등록일 2014.12.23
  • timer
    , 0x67}; // 세그먼트 0~9 설정unsigned char segment_control[4] = {0xf7, 0xfb, 0xfd, 0xfe};// 4개의 세그먼트unsigned ... 소스 코드 해석#includeunsigned char segment_num[10] = {0x3f, 0x06, 0x5b, 0x4f, 0x66, 0x6d, 0x7d, 0x07, 0x7f ... 정지 함수}interrupt [TIM0_OVF] void TIM0_OVF_isr(void)//오버플로 인터럽트{PORTC = segment_control[cnt];//PortC에 세그먼트
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.27
  • 무선이통통신 역사, 무선이통통신 특징, 무선이동통신 통신사1(SK 텔레콤), 무선이동통신 통신사2(KT, KTF, 한국통신), 통신사3(LG유플러스, LG U+, LGT,데이콤)
    증가율을 보이고 있고, 또한 이들의 재무구조는 점점 안정되어가고 있다고 볼 수 있으므로 투자를 할 경우 수익을 얻을 가능성이 더 높다고 판단할 수 있다.2) 매출액 증가율KTF 61.7% ... 베네핏 프로그램(성공세상, 자유세상, 행복세상, 여유세상)을 제공하여 고객이 세그먼트별로 직접 혜택을 선택할 수 있도록 하였다. ... 세그먼트 마케팅 사례 : TTL에서 Leaders Club까지1) Segment Marketing을 하게 된 배경 : 신세대를 공략하자2) Segment Marketing의 시초 :
    리포트 | 17페이지 | 6,500원 | 등록일 2013.07.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대