• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,169)
  • 리포트(3,706)
  • 자기소개서(228)
  • 시험자료(143)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지털 논리 회로" 검색결과 81-100 / 4,169건

  • 디지털 논리회로(생능출판, 김종현) 2단원 정리
    Chapter2. 2진수 체계와 디지털 코드- 디지털 시스템을 구성하는 논리회로는 2진수에 대해서만 동작함- 이 장은 2진수의 표현 방법, 다른 수 체계들(8진수, 10진수, 16진수 ... 한 값을 가지는 2진 숫자(binary digit), 즉 비트(bit)를 사용함- 수가 아닌 정보(문자, 특수문자 등)를 표현하는 경우에도 여러 개의 비트들을 이용함- n개의 비트들을 ... )과의 상관관계, 2진수들간의 산술적 연산 방법, 2진수를 기반으로 하는 각종 디지털 코드들을 다룰 것임2.1. 2진수 표현- 디지털 시스템에서 수/문자를 표현하기 위해 0과 1중
    시험자료 | 16페이지 | 3,000원 | 등록일 2020.11.09
  • 디지털 논리회로 실험 4주차 Multiplexer 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Multiplexer소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 이 Enable E 가 ‘OFF’ 되어있을 경우에는 논리 회로가 제대로 작동하여 원하는 값을 S0, S1에 연결된 스위치를 통해 선택된 출력값 Y가 출력된다. ... 이러한 특성들을 통해 기본 논리 게이트(AND, OR, NOT 게이트)를 사용하여 멀티플렉서를 구현해보고, Decoder를 사용하여 디멀티플렉서를 구현해보았다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 10주차 Counter 예비보고서
    그러나, 실제로 디지털 논리 회로를 적용하게 되면 시간에 따라 움직이는 조건을 고려하지 않을 수 없게 된다. ... 디지털 논리회로 설계 및 실험예비보고서주제 : Counter소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 실험 이론지금까지 다루었던 논리회로들은 입력의 조건에 따라서 출력이 결정되는 “조합 논리회로”들이었다.
    리포트 | 14페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 6주차 ALU 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : ALU소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 산술 연산 회로의 기능산술 논리 연산부분은 병렬 가산기로 구성된다.그림 1. ... 기억 레지스터와 누산기의 내용을 가산기로 보내어 조합 논리 회로의 작용에 의하여 연산되도록 한다.? 가산기에서 계이 보수로 바뀐 후 가산 회로에 전해지게 된다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 4주차 Multiplexer 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Multiplexer소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 ... 디지털 멀티플렉서는 많은 입력선들 중에서 하나를 선택하여 출력선에 연결하는 조합회로이다. ... 인에이블 입력은 2개 이상의 멀티플렉서IC를 확정하여 많은 입력들을 가지는 디지털멀티플렉서로 만드는데 사용할 수 있다. 2개이상의 MUX들이 1개의 IC내에 포함될 수 있다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22 | 수정일 2022.04.17
  • 디지털 논리회로 실험 6주차 ALU 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : ALU소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 응용실험 (2) 회로2. ... 수행하는 산술논리 연산 장치인 ALU를 이용하여 다양한 수학적 연산들을 해보고 데이터 값을 받아 그 값들을 비교해보는 실험을 하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.04.22
  • 서강대학교 디지털논리회로실험 5주차 - 비교 및 연산 회로
    실험 목적Exclusive-OR회로를 이용한 비교/연산회로의 구현 및 동작원리를 이해하고, 기본 논리 gates를 이용한 half-adder 및 fill-adder의 구현 및 동작원리를 ... 그리고 연산회로의 동작 및 signed/unsigned numbers의 연산 원리에 대해 이해한다.2.
    리포트 | 23페이지 | 1,500원 | 등록일 2024.08.17
  • 서강대학교 디지털논리회로실험 레포트 9주차
    그림 15-(b)의 회로우리는 회로를 사진 1과 같이 구현하였다. ... 그림 18-(b)의 회로도우리는 사진 8과 같이 회로를 구현하였다.위 회로에서 A0~A2의 신호는 counter와 연결이 되어 있다. ... Counter의 증가에 따라 이과정에 반복되어 dot matrix display에 특정 패턴을 표시한다.STEP 8) 그림 18-(a)의 회로 중 ROM을 제외한 연결회로를 그림 18
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디지털 논리회로 실험 5주차 Adder 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Adder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... NOT의 복합연산으로 표현할 수 있는데, 그 중 하나가S ~=~ bar {bar{(A+B)} + A cdot B}``이다.(2)반가산기반가산기는 2개의 입력과 2개의 출력을 가진 논리회로를 ... XOR 게이트와 AND 게이트로 설계될 수 있다.그림 2-1 반가산기, 반가산기 심볼(3) 전가산기전가산기는 3개의 입력(A, B, C)과 2개의 출력(합과 자리올림수)을 가진 논리회로
    리포트 | 9페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 10주차 Counter 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Counter소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 동기식 카운터의 입력 함수는 하위 비트의 논리적 AND이다. ... 동기식 카운터의 입력 함수는 하위 비트의 논리적 AND이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.22
  • 서강대학교 디지털논리회로실험 레포트 4주차
    디지털 논리회로 실험 결과 보고서4주차 Multiplexers, Exclusive-OR, andThree state devices5조1.실험제목: Multiplexers, Exclusive-OR ... Three-state 소자의 동작원리와 활용방법을 이해한다.3.이론3-1) MultiplexersMultiplexer는 n개의 입력 신호로부터 1개를 선택해서 출력에 연결해주는 digital ... Analog multiplexer는 digital multiplexer와 다르게 analog signal을 입력받으며, 양방향성의 성질을 가지고 있어 입출력을 반대로 해준다면 demux로서의
    리포트 | 24페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 6주차
    이론1) sequential 회로 : 순차 논리 회로(sequential)는 그 출력이 현재 입력 뿐만 아니라 이전 상태들의 영향을 받는 논리회로를 말한다. ... 순차 논리회로에서 한 시점에서의 상태는 이전 과정들을 포함하며 이를 근거로 이후의 동작이 결정된다. 상태들의 변화는 clock이라 불리는 신호에 의해 지정되는 시점에서 결정된다. ... active high clock이기 때문에 duty cycle이 이고 그림 1-(b)의 경우에는 이 된다.2) Latches/Flip-flopsLatch와 flip-flop은 순차 논리회로
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 7주차
    이론3-1) countersCounter는 그림 1과 같이 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다. ... 그림 20의 state diagram과 바뀐 점은 IDLE에서 LR3으로 갈 때 HAZ가 켜진 상태와 LEFT, RIGHT가 동시에 켜진 상태를 논리합 함으로써 HAZ와 LEFT, ... STEP5의 회로우리는 사진 2와 같이 회로를 구성하였다.사진 SEQ 사진 \* ARABIC 2.
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 인하대 전자과 디지털논리회로 2019 verilog과제
    Design 11. 코드의 빈칸 안에 알맞은 신호를 넣어 Fulladder 모듈을 완성하고 이를 검증하기 위한 테스트벤치 모듈을 작성. 시뮬레이션 결과를 분석하시오. 2. 코드의 빈칸 안에 알맞은 신호를 넣어 4비트 Fulladder 모듈을 완성하고 이를 검증하기 위한..
    리포트 | 5페이지 | 5,000원 | 등록일 2020.07.08
  • 디지털논리회로 내용 정리(수기 작성 중요 내용 정리)
    리포트 | 1페이지 | 1,000원 | 등록일 2020.03.13
  • A+받은 디지털논리회로 2~3장 정리노트
    리포트 | 10페이지 | 1,000원 | 등록일 2022.06.22 | 수정일 2022.10.20
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    디지털 논리실험 및 설계 4주차 예비보고서실험 준비1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 4개의 입력값 중에 1이 단 1개만 있어야하는 반면에 멀티플렉서는 ... I= 로 했을 때, 74139는 EN이 1이 입력되어야 회로가 정상작동하기에 , I가 0일 경우에 S에 따른 번호의 출력값에서 입력값 이 출력 될 것이다., 일 경우엔 에, , 일 ... 경우엔 에, , 일 경우엔 에, , 일 경우엔 에 값 0이 나올 것이다.이 1, I가 1일 경우엔 EN이 0이므로 회로가 작동하지않아 모든 결과값이 1이 나올 것이다.2.3 응용실험
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    2018년 1학기 실험(1): 디지털 논리회로12018년Final Project1목차1. Door-Lock Project 설계 지시사항32. 설계 준비413. 설계 아이디어64. ... 전체 회로도완성된 Door-Lock 회로6. ... 전체 회로 평가(1) Logic Works로 구현한 회로도의 장단점기본적인 회로를 구성하기 전에 먼저 Logic Works를 이용하여 기본적인 '0'과 '1'로 나타내어지는 회로
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 서강대학교 디지털논리회로실험 레포트 5주차
    이론3-1) 비교회로(comparators)디지털 컴퓨터 시스템 및 장치의 설계과정에서 두 개의 이진수의 비교를 통해 프로그램 및 논리의 흐름을 결정하는 것은 매우 일반화된 동작이다 ... D는 A와 B의 배타적 논리합을 해 주고, Bout은 A의 inverse와 B를 논리곱 연산해 준다면 half-subtractor를 만들 수 있다.3) 위 검토사항을 ADSU4의 datasheet에서 ... 이진수는 두 개의 숫자만을 이용한 수 체계로 0과 1을 기호로 사용하며 디지털 신호에 기본적으로 사용된다.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 3주차
    디지털 논리회로 실험 결과 보고서3주차 Decoders and Encoders5조1.실험제목: Decoders and Encoders2.실험목적:-일반적인 binary decoder의 ... 우리 조가 첫 번째 실험을 할 때 결과가 다르게 나와 다시 실험하였는데, 저항이나 인덕터 캐패시터와는 다르게 디지털에서는 회로를 조금만 잘못 짜더라도 완전히 다른 결과값이 나와 버리기 ... Boolean algebra의 내용은 그림 1과 같다. 1차적으로 서술된 수식을 직접 논리회로로 변경하는 것은 비 경제적일 수 있다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대