• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,169)
  • 리포트(3,706)
  • 자기소개서(228)
  • 시험자료(143)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

"디지털 논리 회로" 검색결과 101-120 / 4,169건

  • 서강대학교 디지털논리회로실험 레포트 10주차
    디지털논리회로실험 10주차 결과레포트DAC/ADC와 One shot1. 실험 제목: DAC/ADC와 One shot2. ... 실험 목적:1) Digital-to-analog 변환(DAC)-digital 신호를 analog 신호로 변환하는 회로의 동작 원리를 이해한다. ... -DAC IC의 구동 방법을 배운다.2) Analog-to-digital 변환(DAC)-analog 신호를 digital 신호로 변환하는 회로의 동작 원리를 이해한다.
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 서강대학교 디지털논리회로실험 레포트 8주차
    검토 사항1) D-FF을 사용해서 그림 16의 회로처럼 동작하도록 ISE를 이용해서 회로를 구현해보고 simulation을 통해 확인해 보자-STEP 4에 언급하였 ... 그림 11은 기본적인 회로를 보여주고 그림 12는 그 timing diagram을 보여준다. ... 나머지 세개의 D-FF 역시 같은 구조를 하고 있기 때문에 이는 사진 1의 회로와 같은 기능을 한다고 볼 수 있다.
    리포트 | 20페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.디코더와 인코더의 원리를 이해한다.실험 이론2진화 ... 나머지 6가지의 패턴은 BCD가 피연산자인 논리회로에서는 작동하지 않아야 한다. ... 인코더는 디지털 시스템에서 정보를 전송하는데 상용된다.실험 장비 및 재료실험 장비NI ELVIS오실로스코프: PHILIPS 60 MHz Digital Storage Oscilloscope
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표반가산기와 전가산기의 원리를 이해한다.비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.멀티플렉서의 ... 원리를 이해한다.실험 이론가산기가산기는 덧셈을 수행하는 디지털회로이다. ... 요소로서, 가산기의 기본 동작을 이해하는 것은 디지털 시스템을 공부하는데 중 요한 기초가 된다.그림 1의 회로를 구성하고 진리표를 작성한다.그림 2의 회로를 구성하고 SW의 상태에
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 울산대학교 디지털실험결과22 디지털 논리회로의 전압특성과 지연시간
    디지털 실험 22장. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :디지털 실험 22장. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :1. ... 검토 및 토의이번 실험의 목적은 2진수를 전압으로 처리하는 디지털 논리회로의 동작전압, 지연시간 등을 측정하여 회로의 특성을 파악하는 실험이다. ... 이번 실험을 통해서 논리 1과 논리 0을 사용하여 아날로그 신호가 아닌 디지털 논리 회로를 구성함으로 부의 논리를 구하는 실험을 조금이나마 이해할 수 있었으며, noise margin은
    리포트 | 2페이지 | 2,000원 | 등록일 2021.03.20
  • 디지털 실험 5장(논리회로 간소화) 결과보고서
    회로" 디지털 회로이다. ... 저항-트랜지스터 논리는 최초로 트랜지스터화하여 사용된 디지털 회로로, 다른 종류에는 Hyperlink "https://ko.wikipedia.org/wiki/%EB%8B%A4%EC% ... " 집적 회로로 생산되면서 최초의 디지털 Hyperlink "https://ko.wikipedia.org/w/index.php?
    리포트 | 7페이지 | 3,000원 | 등록일 2019.12.17
  • 울산대학교 디지털실험예비22 디지털 논리회로의 전압특성과 지연시간
    디지털회로 22. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :1. ... 실험 이론모든 디지털 기기들은 디지털 논리회로에서 배운 부울대수의 AND, OR, NOT의 3개로 연산하는 장치이다. ... 이미 실험한 아날로그 회로에서 신호들의 연속 값은 연속이지만, 디지털 논리회로는 0(False)과 1(True)의 2개의 논리 값만 사용한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2021.03.20
  • 디지털 실험 2장(다이오드 논리회로) 결과 보고서
    게다가 디지털 논리회로의 가장 큰 문제점은, 게이트가 여러 개 직렬로 연결되어질 때, 다이오드의 전압강하가 더 강해져서 부하전압에서 측정되는 전압이 현저하게 낮을 수도 있게 된다는 ... -다이오드 논리회로의 문제점을 고찰한다.2. 실험순서실험에 쓰인 저항(R)은 330Ω 이다. ... 실험 2장 스위칭 회로 결과보고서1. 실험목적-반도체 다이오드의 스위치 특성을 공부한다.-다이오드를 이용하여 AND, OR 논리를 실현한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 디지털 논리 회로 설계 실험 최종프로젝트 레포트 A+ 타이밍게임(회로도있음)
    디지털 논리 회로 설계-타이밍게임_20180619000 교수님000 조교님금요일 2,3교시B000000 000-목차-(1) 설계 아이디어(2) 설계 방법(3) 전체 회로도(4) 평가1 ... 7402를 이용해 SR래치를 만들었다.LED 1에 불이 들어왔을 때 (출력값 1) UP으로 입력되고 LED 7 에 불이 들어왔을 때 (출력값 0) DOWN으로 입력된다.SR래치 회로
    리포트 | 11페이지 | 2,000원 | 등록일 2021.07.09
  • 서강대학교 디지털논리회로실험 8주차 - Shift Registers
    그리고 4-digit 7-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.2.
    리포트 | 24페이지 | 1,500원 | 등록일 2024.08.17
  • [디지털논리회로] 4장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.27
  • [디지털논리회로] 2장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 8페이지 | 1,000원 | 등록일 2023.06.27
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 실험 이론레지스터는 많은 디지털 시스템에서 매우 중요한 논리 블록이다. 레지스터들은 2진 정보를 저장하고 디지털 연산을 실행하는데 사용된다. ... [그림 2]존슨 카운터는 맨 마지막 플립플롭의 출력 중 NOT 출력을 첫 번째 플립플롭의 입력과 연결한 회로이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 아날로그 및 디지털회로설계실습 9 부울대수 및 조합논리회로 과제
    4-bit Adder 회로 설계 과제1. ... XOR gate를 이용한 Full Adder 회로를 Pspice를 사용하여 직접 설계하시오.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.02
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 디코더의 논리회로도와 진리표그림 3-2는 2-to-4 디코더의 또 다른 형태로 디코더이다. ... Encoder 회로도 및 진리표그림 3-3의 인코더 회로에서 진리표를 보면 입력신호가 D0, D1, D2, D3의 4개이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 부호기는 10진수를 2진수 또는 BCD코드로 변환시켜주는 조합논리회로이다. ... 응용 실험(1) [그림 2]의 부호기 회로에 두 개 이상의 입력이 1인 경우 중 한 가지를 골라 어떤 일이 일어나는지 실험해보시오.이번 실험은 부호기 회로의 특성을 이용하여 여러 개의
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 9주차 Shift Register 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 궜��궜��궜�↑1000↑1100↑1110↑1111↑0111↑0011↑0001↑0000존슨 카운터는 맨 마지막 플립플롭의 출력 중 NOT 출력을 첫 번째 플립플롭의 입력과 연결한 회로이다 ... 특히, (2)의 실험에서 초기화 하는 과정을자세히 서술하시오.이번 실험에서는 Shift Register의 개념을 이해하고 그에 관한 회로를 구성하여 이론을 학습하고 응용하여 또다른
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    디지털 논리회로 실험 학기말 프로젝트1. ... 전체 회로 사진5. ... 사용하는 RAM은 총 2가지이며 첫번째 램은 데이터 저장용이고 두번째 램은 출력을 위한 시연용이다.작동 논리는 다음과 같다.1.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • 디지털 논리회로의 응용 D/A, A/D Converter/반도체 기억장치
    디지털 논리회로의 응용 – D/A, A/D Converter/반도체 기억장치메인 레포트실험 목표디지털-아날로그 변환기와 아날로그-디지털 변환기에 대해 이해할 수 있다.디지털 논리 소자를 ... 이 경우도 각각의 회로를 테브냉 등가를 이용해서 계산하면 출력값이 나오게 된다.아날로그 디지털 변환기 (A/D converter)아날로그 디지털 변환기는 아날로그 신호를 디지털 신호로 ... 만약 구간을 더욱 작게 나눠서 측정한다면 모든 디지털 신호에 해당하는 전압구간을 측정할 수 있을 것이다.RAM/ROMRAM 실험에서는 RS래치 회로를 이용하여 회로를 구성하였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.03.03
  • 디지털 논리회로 실험 2주차 기본 논리 게이트 (NAND, NOR, XOR Gate) 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : NAND, NOR, XOR GATE 및 응용소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 ... 지금까지 실험한 기본 논리 게이트들의 핀의 위치는 대부분 핀 1,2번이 입력값이고 핀 3번이 출력값이었는데, 4.1.2 실험 때 쓰이는 NOR 게이트는 다른 논리 게이트들과 달리 3번 ... 결론이번 실험에서는 기본 논리게이트 중 NAND, NOR, XOR 게이트 각각의 진리표의 진위를 확인해보고, 이 3가지 게이트들의 각각의 특성들을 파악할 수 있었다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.04.21
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:27 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대