• 통큰쿠폰이벤트-통합
  • 통합검색(515)
  • 리포트(499)
  • 시험자료(8)
  • 논문(5)
  • 방송통신대(2)
  • 자기소개서(1)

"비동기카운터 클럭" 검색결과 81-100 / 515건

  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 결과 보고서
    [표 5][그림 15][그림 16][그림 17]6) 퀴즈 3번의 회로를 schematic으로 구현하여 시뮬레이션D 플립플롭을 이용하여 4-bit 비동기 Up 카운터를 설계한다. ... Finite state machine (FSM) 회로를 설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의 특성을 이해한다.3) 비동기 ... [그림 20]의 타이밍 다이어그램에서, 클럭의 상 승 엣지마다 출력의 값이 1씩 증가하는 것을 확인할 수 있다.[그림 19][그림 20]3.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • (기초회로 및 디지털실험) 16진 동기 및 비동기 카운터 설계
    [비동기카운터의 구조와 동작원리]첫 단의 플립플롭에 클록신호가 인가되어, 이 첫단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의 영향이 ... 이해하여 16진 동기 및 비동기 카운터를 설계한다. ... 구분실 험 제 목설계316진 동기 및 비동기 카운터실험 조건TTL IC(SN7400, SN7476, SN7490)를 이용하여 구현한다.설계 과정비동기동기카운터의 구조와 동작원리를
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    등으로 구분카운터(counter)- 상호 연결된 여러 개의 플립플롭 조합으로 클럭 펄스가 펄스 수를 세거나 제어 장치에서 여러가지 회로의 동작을 제어하는데 역할- 클록 및 동기 방식에 ... 따라 동기식과 비동기식으로 구분[표2] 플립플롭의 사용 용도디지털 장치의 모든 회로는 조합논리회로와 순서논리회로로 구성되는데 두 가지를 비교해 정리하면 그 내용은 아래의 [표3]과 ... 재생산 되는 과정을 통해 구현된다.레지스터(resgister)- 다수 플립플롭을 연결하여 여러 비트의 저장하는데 사용되는 기억장치- 용도에 따라 누산기, 명령 레지스터, 프로그램 카운터
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • [A+보고서] 회로실험 쉬프터 레지스터 결과보고서
    A, B는 직렬 입력 데이터, 출력은 8비트로 각 F/F 출력 핀(QA ~ QH)이며, 비동기 클리어 입력 CLR이다.실험 (6)은 입력 SI를 0으로 인가하기 전에는 A,B,C,D에 ... 실험 결과 분석이번 실험의 목적은 쉬프트 레지스터의 구조와 동작원리를 이해하고, 쉬프트 레지스터를 이용한 카운터의 동작을 이해하는 것이다.입력 데이터를 0에서 1로 했을 때 불이 들어오지 ... 플립플롭에 기억된 정보를 클럭펄스에 의하여 오른쪽으로 이동시킨다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.12.22 | 수정일 2024.07.21
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    카운터(Counter) : 클럭 펄스를 세어서 수치를 처리하기 위한 논리 회로 (디지털 회로)이다.? ... -신호의 타이밍에 따라 동기식과 비동기식으로 나누어진다.(3) 동기식과 비동기식-동기식 : 클록 펄스(Clock Pulse)가 들어오는 시점에서 동기화되는 회로이다. ... -비동기식 : 시간에 관계 없이 단지 입력이 변하는 순서에 따라 동작하는 논리회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 디지털회로실험 7-세그먼트, 비동기카운터 실험 레포트
    기본 이론- 비동기카운터- 비동기카운터는 첫 번째 플립플롭의 CP(clock pulse)에만 클럭펄스가 입력된다. ... 디지털회로실험실험보고서제목 : 7-세그먼트 디코더 및 표시기비동기카운터 (4비트 비동기식 상향 카운터)(4비트 비동기식 하향 카운터)(비동기식 2진 · 5진 카운터)1. ... 실험 결과4비트 비동기식 상향 카운터 실험 결과4비트 비동기식 상향 카운터{bar{CLR}}( 0 ~ 1) : 1,{bar{PR}} : 04비트 비동기식 상향 카운터{bar{PR}}
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(결과) / 2021년도(대면) / A+
    다음의 특징을 가지는 4-bit counter를 설계하고 시뮬레이션 후 장비 동작을 확인하시오.- CLK은 1Hz 입력- 출력 : LED 4개 (module-16 방식으로 동작)- 비동기 ... 계수기는 클럭펄스가 인가될 때마다 값을 증가/감소 시키는 회로이며, 주파수 분주기, 타이밍 제어신호 생성 등에 활용.- 동기식 계수기는 모든 플립플롭이 공통 클럭에 의하여 구동되어 ... 버튼: Button SW1Source codeTestbentchPin testbench 시뮬레이션 결과 설계한 4-bit counter의 동작을 확인하는 모습(7) [실습 7] 카운터
    리포트 | 18페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털 만보기
    SR래치순차회로의 기본요소로, 클럭신호를 사용하지 않는 비동기 기억소자이다.0과 1 신호에 의해 결과값 Q, Q’ 값이 출력된다3. ... REPORTTerm Project 보고서과목명 : 디지털회로 실험 및 설계분반 :담임교수:학과 :팀장 :팀원 :실험명디지털 만보기역할분담분담이랄게 따로 없으며 모든과정 다같이 참여함동기및목적걷기 ... 아래 그림과 같이 오른쪽 벽쪽으로 붙게되면 전류가 흐르지 않았던 부분에 접촉하게 되어 전류가 흐르게 됨전체적인설명spec기울기 센서를 이용하여 SW200소자가 한 번 동작할 때마다카운터
    리포트 | 10페이지 | 3,000원 | 등록일 2023.11.07
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    실습 1에서 reg로 선언한 카운터클럭동기화되어 자동으로 값이 올라가고 그 값을 segment의 형태로 single FND에 표시하도록 하는 모듈을 만들었다. ... .- 입력: 1Hz의 Count clock- resetn: 0으로 초기화(비동기)- Up/down mode change: 1kHz 클럭으로 mode change- count up은 ... 동기화)- 출력: 8bit signed count 값을 앞의 실습 5 모듈에 instantiation하여 넘겨주어 FND Array에 출력always문 두 개를 각각 다른 클럭
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • SoC 보고서 - 1.동기통신(PS2)
    동기 통신은 두 대상의 동기를 맞추지 않고 통신하는 방법이다. ... 보통 동기는 클록 신호로 맞춘다. 데이터 외에 동기 신호도 송수신 해야 하므로 선로가 하나 더 필요하다는 단점이 있지만, 비동기식에 비해 전송효율이 높다는 장점이 있다. ... 전송 방식으로 나눈다면 동기 통신과 비동기 통신 방법이 있고, 전송 선 연결 방식에 따라 나누면 직렬 통신과 병렬 통신으로 구분할 수 있다.동기 통신은 통신의 송수신기에 해당하는 두
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    동기 (클럭에 무관) 또는 동기적으로 카운터의 시작점을미리 설정할 수 있는 카운터이며 전파지연 때문에 FF들이 동시에 트리거 되지 않음. ... 클럭주파수를 너무 크게 하면 문제가 발생하고 최대 누적 지연시간 < 클럭 주기이다.업/다운 카운트에 채터링 방지 하기 위해 저항을 달아줘야 했지만 나중에 인지하여 하지 못 함.- NE555 ... 동기 및 목적일상생활에 자동차를 끌고 나갈 때 가장 문제가 되는 것이 주차 문제이다.
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • 전자공학과 지거국 편입 면접대비 총정리 자료
    (동기식 or 비동기식) 카운터에서 플립플롭이 N개 일 때, 딜레이 시간은 몇 ns인가? ... 리플 카운터 / 동기카운터리플 카운터 : 플립플롭의 출력의 변화가 다른 플립플롭들을 트리거 하는 소스 역할을 한다.동기카운터 : 모든 플립플롭들의 클럭 입력은 공통된 클럭 신호를 ... 카운터를 두 종류로 나누면?A.
    자기소개서 | 27페이지 | 25,000원 | 등록일 2022.03.03 | 수정일 2023.01.30
  • 아주대 논리회로실험 실험8 Counter 예비보고서
    그리고 원하는 진수에 다다르면 출력은 다시 0부터 순환되는 구조를 가지고 있다.3) 동기식 Counter- 앞에서 설명한 비동기식 Counter와 반대로 모든 F/F이 같은 클럭에 ... 동작은 앞에서 설명한 비동기식 Counter과 비슷하지만 모든 F/F이 같은 클럭에 의해 동시에 트리거되어있어 출력이 동시에 변한다.4) BCD Counter- 10진수를 가장 자연스럽게 ... 의해 동시에 트리거되어있어 전송지연이 발생하지않는 Counter로 전송지연이 발생하는 비동기식 Counter에 비해 속도가 빠르다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 텀프로젝트 RC카 마이크로프로세서 Atmega128
    클럭 발생부는 내부클럭 16MHz와 동기해서 데이터를 송수신 할 것인지 사용자가 따로 정한 데이터 전송 속도에 맞춰 데이터를 송수신 할 것인지 정하게 된다. ... 가능하다.ATmega128의 USART의 특징은 아래로 살펴볼 수 있다.- 2개의 USART(USART0, USART1) 채널- 송수신을 동시에 할 수 있는 전이중방식의 통신모드 지원- 비동기식 ... 제어 포트DDRB = 0xf0; // PWM 출력 포트DDRC = 0xff; // CLCD 제어 포트//DC 모터 설정TCCR1A = 0x82; // 0b1000 0010, 타이머카운터1
    시험자료 | 32페이지 | 10,000원 | 등록일 2023.12.15
  • 부산대 응전실1 4주차 예비보고서(A/D, D/A 변환기)
    3개가 사용됩니다. 7490은 비동기카운터이기 때문에 UP카운터만 사용됩니다. ... A/D 변환기는 클럭 동작 속도, 샘플링율, 해상도 또는 분해능, 구조 등에 따라 구분합니다. ... 그리고 divide-by-two 카운터를 제공하기 위한 추가적인 게이트를 가지고 있습니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2022.04.13
  • 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    본 회로와 같이 reset이 clock보다 더 우선권을 갖는 경우 reset을 비동기 reset이라 부른다.Sequential logic은 기본적으로 always 구문으로만 모듈 작성이 ... N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    본 회로와 같이 reset이 clock보다 더 우선권을 갖는 경우 reset을 비동기 reset이라 부른다.Sequential logic은 기본적으로 always 구문으로만 모듈 작성이 ... N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 방통대 방송대 컴퓨터구조 5페이지 암기노트 핵심요약정리
    , 200개, 마이크로프로그램 제어방식, 기억장치접근, 파이프라인 비효율RISC (Reduced): 고정길이, 1개 클럭, 30여개, 하드웨어 제어방식, CPU내부, 파이프라인 효율 ... 전송 / 비동기적 전송(시작비트,데이터비트,정지비트)입출력 제어방식중앙처리장치 의한: 가장 간단 / 프로그램 의한 (D, B 플래그) / 인터럽트처리 의한 (D=1되면 알려줌)DMA ... 시스템버스와 연결레지스터세트: 기억장치 중 가장 빠름범용 레지스터(일시적 저장): 소규모, CPU 내부 (스크래치패드 메모리 구조 for 대규모)특수 레지스터주소 기억PC (프로그램 카운터
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.04.10 | 수정일 2024.04.12
  • 충북대학교 전자공학부 기초회로실험II 결과보고서 실험 20. 회로의 주파수 응답과 필터
    실험 결과(10) 은 그림 회로를 클러겡 동기 시켜 확장시킨 회로이다. 입력 X 및 클럭의 신호 구성은 실험 2와 동일하다. ... 카운터 회로, 실험 20 회로의 주파수 응답과 필터과목명기초회로실험 II담당교수실험 조학과전자공학과학번이름실험 19. ... 실험을 통해 얻은 3dB 주파수를 이론치와 비교 분석하고, 3dB 주파수에서 입려과 출력 신호와의 크기 비 및 위상차를 측정하여 이론치와 비교 분석하라.저항 : 0.98812kΩ{
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.19
  • 조선대 전자회로실험 디지털시계 과제 레포트
    IC 2의 6번 핀, IC4 의 6번핀 그리고 IC6 의 1번, 2번, 6번 핀은 접지로 연결한다.설명 재료 설명 설치 방법 7490 IC 7490 IC 는 10진 비동기카운터로 원하는 ... 직렬로 연결되어서 1개의 카운터를 지날 때마다 2분주가 됩니다. 16384Hz를 클럭에 넣으면 이와 같이 출력이 나옵니다.Q0 - 8192Hz Q1 - 4096Hz Q2 - 2048Hz ... 카운터 회로에서 초와 분 단위는 60진 카운터 이고, 시 단위는 12진 카운터이다. 60진 카운터에서 10진 카운터용으로 7490과 6진 카운터용으로 7492를 사용하고. 12진 카운터에서는
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대