• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,763)
  • 리포트(3,379)
  • 시험자료(175)
  • 자기소개서(93)
  • 방송통신대(87)
  • 논문(15)
  • ppt테마(5)
  • 서식(4)
  • 이력서(3)
  • 기업보고서(1)
  • 노하우(1)

"세그먼트" 검색결과 81-100 / 3,763건

  • Taylor의 식스 세그먼트 메세지 전략 모델
    세그먼트 메세지 전략의 의의TAYLOR의 메세지 전략 모델 SIX-SEGMENT MESSAGE STRATEGY WHEELTaylor의 메세지 전략 모델은… 식스 세그먼트 (Six-segment ... Taylor의 식스 세그먼트 메세지 전략 모델 (Taylor's Six-Segment Message Strategy Wheel)광고 효과 이론 ADVERTISING MODELS식스
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.04
  • 0~F 까지 7-세그먼트로 나타내기
    설계목적4개의 스위치로 7-세그먼트(cathode형)에 0~F 까지 표시하기 위해서는 먼저 진리표를 만들어야 하는데 진리표는 스위치 0000~1111 일때의 각 7-세그먼트의 LED ... 관련이론1. 7-세그먼트 LED(seven-segment LEDs)7-segment LED 에는 (a)그림처럼 A~G의 7개 LED로 구성된nt라 부른다. ... f, g에 대한 각각의 카르노 맵을 만들어 카르노 맵에 의해 만들어진 논리식을 논리 간략화와 부울 대수의 간략화를 통해 논리식을 간략하게 하고 그 간략화된 논리식을 바탕으로 7-세그먼트
    리포트 | 22페이지 | 8,000원 | 등록일 2010.04.28 | 수정일 2022.10.25
  • BCD-7세그먼트 디코더 논리회로 설계결과보고서
    위에 나타낸 진리표에서 확인할 수 있고, 그렇게 0에서부터 9까지 세그먼트상에 나타낼 수 있다. ... 결과사진abcdefg위에 나타낸 그림처럼 세그먼트 상에 입력을 주어서 숫자를 나타내게 하는 실험이었다. ... 세그먼트에 0이 표시되게 하기 위해서는 a부터 f까지의 값이 1이 되어야 하고 g만 0이 되어야 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • [논리회로 및 실험] 3비트 입력 7-세그먼트 출력 디코더 실험 계획서
    3비트 입력 7-세그먼트 출력 디코더 실험 계획서실험 계획BCD-7-세그먼트의 구성에 대해 알아보고, 3비트의 입력을 받아 원하는 출력 값을 가지는 디코더의 구현을 통해 이해해본다. ... 또한 2입력 - 1출력인 IC 칩에 맞춰 회로도를 2개짜리로 변경하여 작성해본다.실험에 사용될 예상 기기 및 칩, 기타 부품들Logic Lab Unit Model 9200저항7 세그먼트 ... 출력을 얻는 디코더를 구현하시오. ( 7-세그먼트는 애노드 공통(입력이 0일 때 다이오드의 상태 ON)이다.)실험에 쓰일 게이트:NOT 게이트: 입력 1개와 출력 1개가 있는 게이트로써
    리포트 | 6페이지 | 1,500원 | 등록일 2016.07.13
  • 초음파 센서 led 및 7세그먼트를 이용한 주차 보조 시스템
    - 초음파센서(SRF-04)의 초음파 신호를 받아 7세그먼트와 LED에 출력한다.초음파센서(SRF-04)의 거리 값을 토대로 7세그먼트와 LED에 숫자와 색상으로 알려줍니다. ... 센서를달아 벽과의 거리를 LED의 색상과 세그먼트의 숫자로 보여주고 또한 부가적으로 양쪽의 주차선에 맞춰 초음파센서를 두 개 더 부착하여 초음파센서와 7세그먼트에 의해 거리는 물론 ... - 초음파센서(SRF04)의 초음파 신호를 받아 7세그먼트와 LED에 출력합니다.초음파센서(SRF-04)의 거리 값을 토대로 7세그먼트와 LED에 숫자와 색상으로 알려줍니다.
    리포트 | 16페이지 | 20,000원 | 등록일 2013.07.30
  • 논리 게이트를 이용한 세그먼트 제어
    X = Don`t Care 1 = Ative High 0 = Ative Low3) 진리표를 바탕으로 만든 K-MAP 및 논리식 정리3) 부품74LS04(Inverter) x 2 74ls04(not).pdf74LS08(2-Input AND) x 3 74ls08(and)...
    리포트 | 5페이지 | 2,000원 | 등록일 2008.11.13 | 수정일 2023.10.19
  • 7-세그먼트 / 디코더 회로 설계
    설계실습 11. 7-segment / Decoder 회로 설계1. 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. 실험준비물직류전원장치 1대멀티미터 또는 오실로스코프 1대Bread board 1대저항 (330Ω) 8개Decoder(74LS47..
    리포트 | 6페이지 | 1,500원 | 등록일 2009.11.30
  • 7 세그먼트 논리회로 구현
    (1) 7세그먼트 구성 과정ABC=000 001 010 011 100 101 110 111(2) 진리표3 비트 입력7세그먼트ABCX1X2X3X4X5X6X700************* ... 활용하여 그림으로 나타내었다.상위 4개의 세그먼트만을 이용하였다.② 진리표 작성 시 각 세그먼트 별로 구별하였다.③ 101,111은 블랭크로 나타냈다.④ 카노맵을 사용하여 논리식을 ... + A'B' X4= B'C' + A'C + A'C(4) 회로도 (AND OR 회로)(4) 회로도 (NAND회로)(5) 디자인과정에서의 결정① 3 비트의 입력에 관한 상위 4개의 세그먼트
    리포트 | 3페이지 | 1,500원 | 등록일 2008.10.07
  • VHDL을 이용한 7세그먼트 실험보고서입니다.
    TMP_D
    리포트 | 12페이지 | 2,000원 | 등록일 2011.06.22
  • 7세그먼트 LCD셀 제작을 위한 마스크 설계
    여기서 막대 모양의 LED 하나하나를 세그먼트라고 하고, LED가 7개 사용됨으로 세븐세그먼트 표시기라고 이름이 붙여졌다.7세그먼트란?PART2. ... 이론적고찰세븐 세그먼트 세븐세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. ... 두 세그먼트의 간의 넓은 간격 차 3. 전극패턴은 밖으로 노출시킬것!
    리포트 | 22페이지 | 3,000원 | 등록일 2011.05.28
  • 7세그먼트를 이용한 전압측정기 레포트
    전 자 회 로 실 험프 로 젝 트전 압 측 정 기과제의 내용우리가 매일 실험실에서 접하는 여러 가지 실험 장비의 원리가 궁금했고 그 중에서 실험에서 자주 쓰이는 전압측정기를 선택하게 되었다. 우리가 실제로 구현한 전압 측정기는 (+/-)200V의 측정 범위를 가지고 있..
    리포트 | 6페이지 | 1,000원 | 등록일 2010.03.25
  • 0~F 까지 나타내는 7 - 세그먼트 (SEVEN - SEGMENT) 결과 보고서
    실험 보고서7-세그먼트전자공학과1. 실험주제→ 0~F 까지 7 세그먼트 구성하여 나타내기2. ... 느낀점→ 이번 실험에서는 0~F 까지 7-세그먼트를 구현하는 실험이었다. ... 실험목표→ 4개의 스위치를 사용하여 0~F까지의 7-세그먼트를 구성하기 위해서 진리표를 구성한후 그 진리표에 맞게 회로를 구성하여 시뮬과 동작결과를 나타내어라.3.
    리포트 | 17페이지 | 1,500원 | 등록일 2013.11.16
  • 디지털 공학 세븐세그먼트 설계 프로젝트
    서론 7세그먼트-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라 한다.2.
    리포트 | 28페이지 | 3,000원 | 등록일 2009.02.09
  • LED 순차점등 및 세그먼트 보고서
    「LED 순차점등 실험」※실험목적-아래와 같은 결과를 낼 수 있도록 프로그래밍 한다.- 스위치 입력 :2개(Push), LED 출력 : 8개- 1번 스위치 입력(Rising Edge)이 1번 있을 때 점등된 LED가 이동- 2번 스위치 입력이 1번 있을 때 방향 전환※..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.09
  • [디지털회로]7세그먼트 동작원리
    7-Segment 동작원리7-Segment란 Bar형태로 된 LED(Lighting Emitting Diode, 발광다이오드)를 7개 연결해 놓은 것이기 때문에 7-Segment의 동작원리는 LED의 동작원리이다.물질은 원자로 이루어져 있으며, 원자 내부에는 핵이 있고..
    리포트 | 1페이지 | 1,000원 | 등록일 2008.12.08
  • LED, 7세그먼트, 텍스트 LCD 디바이스드라이버
    LED/7세그먼트/텍스트 LCD 디바이스드라이버1. ... 여기서 막대 모양의 LED 하나하나를 세그먼트 (segment)라고 하며, LED가 7개 사용됨으로 7세그먼 트 표시기라고 이름이 붙여짐.◈ 7segment 한 개는 8개의 LED ... 텍스트 LCD◈ 7세그먼트 LED가 아라비아 숫자 및 16진수에 필요한 A~F 정도의 문자를 겨우 표현할 수 있는데 비하여, LCD(Liquid Crydtal Display) 모듈은
    리포트 | 2페이지 | 1,000원 | 등록일 2009.10.25
  • 디지털실험 설계- BCD 7 세그먼트 설계보고서
    ◈ BCD-7 세그먼트 디코더-논리회로 설계결과-2조 2008065321권태영1. ... 세심한 주의력이 요구 되는 것 같다.이론적 배경을 살펴보면, 세그먼트 디코더는 BCD에서 십진 숫자를 받아들여서 이를 나타내는 선분을 선택적으로 출력생성 하는 조합회로 이고, 7 세그먼트 ... (출력 1~7 = a~g) 세그먼트 표시기를 살펴보면 7값이 나오게 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 논리회로실험 7 세그먼트 디코더
    예컨대 3을 점등시키는 경우 점등시키고자 하는 세그먼트 a, b, c, d, g 등은 0으로 e, f 세그먼트는 1이 캐소드 단자에 각각 가해져야 된다. ... 점등시키기 위해서는 해당 세그먼트에 High level 즉 1이 가해져야 되고 그림 10.1(c)의 애노드 공통형 공통단자를 +Vcc에 연결하는 경우에 점등시키고자 하는 LED세그먼트의 ... (Lamp Test)는 이 단자를 0으로 놓으면 BCD 입력에 관계없이 숫자 표시기의 모든 세그먼트가 켜지므로 디코더 IC의 동작은 물론이고 세그먼트의 동작 여부를 검사할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 1bit 세그먼트 카운터 Model Sim 소스
    1bit 세그먼트 구현 Mode lSim 소스1) BCD를 이용한 소스module Seven_seg (Bcd_in, seg_0, seg_1, seg_2, seg_3, seg_4, seg
    리포트 | 2페이지 | 1,500원 | 등록일 2009.07.20
  • 2색 LED 제어 및 7세그먼트 제어
    실험 3. 2색 LED 제어 및 7세그먼트 제어0. ... [그림 3] 7세그먼트의 외부 모양과 내부 회로현재 사용하는 키트에서는 7세그먼트 4개를 하나로 묶어서 동적인 방법으로 디스플레이 하며, 동적인 디스플레이 방법은 부록 G의 회로도와 ... 따라서 쉬프트 레지스터라 한다, 그리고 이소자는 아웃풋의 레치 기능 즉, 출력된 값을 계속 신호를 주지 않아도 유지 시켜 준다.2.2. 7 세그먼트 구조 및 원리q 7세그먼트는 [그림
    리포트 | 8페이지 | 1,000원 | 등록일 2010.05.24
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대