• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3,763)
  • 리포트(3,379)
  • 시험자료(175)
  • 자기소개서(93)
  • 방송통신대(87)
  • 논문(15)
  • ppt테마(5)
  • 서식(4)
  • 이력서(3)
  • 기업보고서(1)
  • 노하우(1)

"세그먼트" 검색결과 101-120 / 3,763건

  • 2색 LED 제어 및 7세그먼트 제어
    실험 3. 2색 LED 제어 및 7세그먼트 제어0. ... [그림 3] 7세그먼트의 외부 모양과 내부 회로현재 사용하는 키트에서는 7세그먼트 4개를 하나로 묶어서 동적인 방법으로 디스플레이 하며, 동적인 디스플레이 방법은 부록 G의 회로도와 ... 따라서 쉬프트 레지스터라 한다, 그리고 이소자는 아웃풋의 레치 기능 즉, 출력된 값을 계속 신호를 주지 않아도 유지 시켜 준다.2.2. 7 세그먼트 구조 및 원리q 7세그먼트는 [그림
    리포트 | 8페이지 | 1,000원 | 등록일 2010.05.24
  • 자일링스verilog언어를 이용한 push버튼을 통해 세그먼트 물레방아
    verilog 로 푸시 버튼 1을 눌렀을때 왼쪽 세그먼트가 물레방아 형식으로 돌아가고푸시버튼 2를 눌렀을때 오른쪽 세그먼트에서 물레방아로 돌아가고 3을 누르면 왼쪽 세그먼트 0으로 ... 표시4를 누르면 오른쪽 세그먼트 0표시
    리포트 | 2,500원 | 등록일 2011.12.16
  • 디지털공학(논리회로)-7세그먼트 설계
    여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. ... 분석7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. ... x x x xx x x x x x xx x x x x x xx x x x x x xx x x x x x xx x x x x x x위 그림에 따라 입력이 A, B, C, D인 7-세그먼트
    리포트 | 8페이지 | 3,000원 | 등록일 2009.12.06 | 수정일 2022.12.12
  • [회로이론]7세그먼트
    7세그먼트 표시기 및 디코더5-목 적- 7세그먼트 표시기의 동작 이해 및 BCD-to-7세그먼트 디코더 회로 설계 학습1 7세그먼트 표시기7세그먼트 표시기라고 하는 소자는 0에서 9까지의 ... (a) 실물사진(b) 세그먼트 구성 및 숫자 표시(c) 사용 예(자동판매기 금액 표시 장치)그림 7세그먼트 표시기7세그먼트 표시기는 애노드 공통형(common-anode type)과 ... 표시기시판되고 있는 7세그먼트 표시기들 중에서 LTS 542는 애노드 공통형이고, LTS 543은 캐소드 공통형이다.2 BCD-to-7세그먼트 디코더BCD-to-7세그먼트 디코더는
    리포트 | 10페이지 | 2,500원 | 등록일 2005.05.01
  • LG CYON의 세그먼트마케팅(마케팅전략) / 롤리팝과 와인폰
    발견 작은 화면 과 작 은 키패드 가 주된 불만요인 비슷한 디자인과 기능에서 벗어나 고객의 욕구 를 파악 그에 맞는 제품 개발 – “ 롤리팝 ” “ 와인폰 ” LG CYON 의 세그먼트
    리포트 | 53페이지 | 3,000원 | 등록일 2010.07.18 | 수정일 2018.04.24
  • Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터
    디지털회로개론실험 예비보고서HDL을 이용한 설계7-세그먼트 디코더시프트 레지스터0. ... 실험목적7-세그먼트와 시프트 레지스터를 HDL을 이용하여 설계해봄으로써, Verilog HDL을 사용한 회로 설계 방법과 Quartus Ⅱ의 사용법을 익힌다.1. ... 이론. 7-세그먼트 디코더0) VHDL로 표현library Ieee;use ieee.std_logic_1164.all;entity seg_decoder isport(cnt_in :
    리포트 | 3페이지 | 1,500원 | 등록일 2010.06.18
  • 7세그먼트 표시기 및 디코더
    7세그먼트 표시기 및 디코더5-목 적- 7세그먼트 표시기의 동작 이해 및 BCD-to-7세그먼트 디코더 회로 설계 학습1. 7세그먼트 표시기7세그먼트 표시기라고 하는 소자는 0에서 ... (a) 실물사진(b) 세그먼트 구성 및 숫자 표시(c) 사용 예(자동판매기 금액 표시 장치)그림 5-1. 7세그먼트 표시기7세그먼트 표시기는 애노드 공통형(common-anode type ... BCD-to-7세그먼트 디코더BCD-to-7세그먼트 디코더는 그림 5-3에 나타낸 것과 같이 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, .
    리포트 | 10페이지 | 1,500원 | 등록일 2007.09.03
  • [디지털회로실험] (실험5) 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 / (실험6) 7-세그먼트 디코더
    시뮬레이션 결과실험 6-(1) 7-세그먼트 디코더 회로의 동작 확인? 회로도? 시뮬레이션 결과
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 디지털회로 - 타이머 (세그먼트 출력) 결과
    타이머 (세그먼트 출력)제출일실험조조 원07-12-10-결과 보고서-1.실험결과 및 분석? ... 이번 실험은 지난 타이머 실험의 연장으로 설정된 시간을 세그먼트로 출력하는 코드를 추가하여 그 결과를 확인하는 실험이었다.옆의 사진에서와 같이 오른쪽 두 개의 토글스위치로 초, 분( ... 오른쪽부터)을 설정하고 설정된 시간이 지나면 아래 작은 동그라미 안의 LED에 불이 들어오도록 핀을 할당하였다.또 설정된 시간을 세그먼트로 출력하기 위해 코드를 수정해야했다.
    리포트 | 9페이지 | 1,000원 | 등록일 2008.04.09
  • [디지털회로]7세그먼트 실험결과보고서
    7-Segment 결과보고서K-MAPCDAB11111××××11××CDAB111111××××11××a = B`D`+BD+CD b = A`D`+C`D`+CDCDAB111
    리포트 | 3페이지 | 1,000원 | 등록일 2008.12.08
  • 7-세그먼트 디코더 디지털회로실험 예비보고서
    디지털회로실험 사전보고서-Lesson 6 7-세그먼트 디코더1. 7-세그먼트 디코더 회로 동작 확인7-세그먼트 디코더 datasheet- 7-세그먼트는 0부터 9까지의 숫자 또는 간단한 ... 여기서 막대 모양의 LED 각각을 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. 7-세그먼트의 구성을 살펴보면 아래그림과 같이 ... 여기서 출력 a~f는 7개의 세그먼트를 밝히는 부분에 해당한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.23
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    각각의 세그먼트는 전류가 흐를 때 빛을 방출하는 소자로 구성되어 있는데, LED가 가장 일반적으로 사용되는 소자이다.- 7-세그먼트 -3) BCD 7-세그먼트 디코더/드라이버BCD( ... 디스플레이(1) 그림 6-6과 같이 회로를 구성하고, 7490의 2번과 7-세그먼트의 밝기 를 비교하여라.(4) 전형적인 7-세그먼트 LED 디스플레이의 각 세그먼트는I _{D} ... 제 출 일 : 2014. 4. 8● 실험 6 LED와 7-세그먼트(LED & 7-Segment)- 실험의 주요 주제1. 7-세그먼트 LED의 특성을 실험을 통해 확인한다.2. 2진화
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • max plus 이용한 디지털 논리 회로 실습 8세그먼트
    점선은 점등되지 않은 세그먼트를 의미하고 실선은 점등된 세그먼트를 의미한다. 6과 9에서 한 세그먼트는 점등되어도 되고 점등되지 않아도 된다는 것을 기억하라.다음에 주어지는 각각의 ... 서론문제] 아래의 그림은 특별한 8-세그먼트 디스플레이이다.X1X6 X2X8X5 X3X40부터 15까지의 숫자를 디스플레이하고 싶다. ... 본론11~15까지의 숫자로 나타내는 8세그먼트를 truth table로 나타내고 각각의 출력 X1~X8까지를 Boolean Equation 으로 나타내면 다음과 같이 나타낼 수 있다
    리포트 | 21페이지 | 1,500원 | 등록일 2009.05.21
  • 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식
    1) 다음은 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식이다.이중에서 대문자 C[0x43]에서 H[0x48]까지의 ASCII값이 들어오면 7-세그먼트에 표시하는 디코더를
    리포트 | 2페이지 | 1,000원 | 등록일 2009.12.25
  • 마프응용실험-7세그먼트결과+예비레포트
    여기서 막대 모양의 LED 하나 하나를 세그먼트 (segment)라고 하며 LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. 7segment 의 종류7세그먼트 표시기는 ... 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫 자를 표시하기 위해 만들어진 소자로 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 구동 시 8 + 6= 12개 필요.)4. 8051 점등폰트 형성: 7세그먼트 구동에 있어서 스캔 개념과 더불어 7세그먼트 폰트 제작은 매우 중요한데 이에 대한 이해가 필요하다.표시
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.19 | 수정일 2016.08.27
  • 전자회로실험및설계 : 7-segment (7세그먼트) 예비보고서
    7-segment 예비보고서1) 7-segment란?7-segment는 간단한 디스플레이의 일종으로 7개의 LED로 10진수 아라비아 숫자들을 표시할 수 있게 만든 소자이다. 실제 7-segment는 dot가 포함되어 8개의 LED를 사용하고 있다. 7-segment는..
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • 시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산기
    전압을 주면 빨간불이 들어왔으며 V의 값에 따라 7-세그먼트의 숫자 값이 달라졌습니다. ... 0①②③① 35 + 28 = 63이 나오는지 확인하라② IC들과 회로들이 어떻게 동작하는지 설명하라35 + 28이지만 3과 2를 더하고 5와 8을 더해서 각각의 자리 수를 7446A (7세그먼트 ... over {10} `=0.10.1=100ms구현해야할 회로실제 구현 회로- 진행 상황 : 먼저 3+2를 74283, 7408(IC7), 7432(IC8), 7447 소자를 사용하여 7-세그먼트
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • 기초전자회로실험 예비레포트 7세그먼트 7-Segment
    부르며, 7개의 세그먼트가 있기 때문에 7세그먼트 발광 다이오드라 부르고 있다.실제로 점등시키기 위해서는 common 단자에 마이너스를 필요한 세그먼트의 단자에 저항을 통해 플러스의 ... 디코더를 이용하여 7-세그먼트에 디스플레이하는 회로 구성2. 실험 준비물? 전원공급기 - ED-330? Digital multimeter - DM411B? ... 7세그먼트 발광 다이오드는 아랫 그림에 나타낸 바와 같은 배열로 발광 다이오드가 나열되어 있으며, a~g까지의 각 발광 다이오드 중에서 해당되는 것만 발광되도록 하면 숫자를 표시할
    리포트 | 5페이지 | 1,000원 | 등록일 2009.09.18
  • 디지털회로 - 7-세그먼트 제어기 결과
    회로를 잘못 구성하여 쇼트도 나고, 세그먼트는 제대로 동작하지 않아 회로를 몇 번이고 다시 확인해보았다. ... 또 위 사진에서 볼 수 있듯이 7447의 각 출력에 300Ω정도의 저항을 달아 세그먼트로 출력을 연결하였다. ... 위 결과는 아래와 같이 세그먼트에 표시되어 카운터에 의해 순서대로 0~7까지 카운터된다.0 1 2 3 4 5 6 7? UP COUNTER ?
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 전자회로실험및설계 : 8051 MCU를 이용한 7-segment (7세그먼트) 제어
    7-segment 결과보고서7-segment는 숫자 모양으로 LED를 배치시킨 소자이다. LED는 단자가 애노드와 캐소드라는 두 단자를 가지고, 7-segment는 dot를 포함하여 LED가 총 8개 있기 때문에 7-segment를 제어하려면 16개의 핀이 필요하다. ..
    리포트 | 9페이지 | 1,000원 | 등록일 2011.12.28
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:47 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대