• 통큰쿠폰이벤트-통합
  • 통합검색(282)
  • 리포트(267)
  • 논문(8)
  • 자기소개서(4)
  • 시험자료(3)

"스텝모터의 구동방식" 검색결과 81-100 / 282건

  • 모터제어, PLC응용실험
    다관절형 로봇 제어 Souce와 TEXT LCD에 출력된 센서 값(사진)을 첨부하고, 구동 방식을 설명하여라.int main(void){unsigned short Force_tmp ... 280V~440V용 MOTOR에는 UL STYLE NO. 3271 AWG NO. 20을 사용하고 있습니다.3. 구동 원리?? ... 아래 그림과 LD(래더다이어그램을)보고 IL(어셈블리언어)표와 타이밍차트(screen shot)와 그림에 맞게 설명하여라.?타이밍차트?
    리포트 | 18페이지 | 2,000원 | 등록일 2015.11.16 | 수정일 2018.04.25
  • 기계공학 기초실험
    그리고 다음 코일이 여자되면 샤프트는 한 스텝씩 이동을 하게 된다.- 스테핑 모터 구동방식(유니폴라/바이폴라, 정전압방식/정전류방식)구동방식유니폴라(단극성)바이폴라(양극성,쌍극성)전류방향전류를 ... 1상여자 방식일때스테핑 모터에 전기를 가하면 모터의 영구자석인 샤프트가 여자된 코일의 극성(N,S)에 맞춰 자기 위치를 조절한다. ... 생성시키는 모터이다.- DC 모터 원리정류자를 통하여 그림과 같이 전류를 흘리게 되면 플레밍의 법칙에 의해 전기자 코일이 회전하게 된다.- DC 모터 구동전동기는 기본적으로 고정된
    리포트 | 18페이지 | 2,000원 | 등록일 2015.11.16
  • 동력단절이 없는 크랭크축을 이용한 무단변속시스템 설계
    유니폴라 구동방식은 고속회전에는 유리하나 강한 토크를 발생시키는데는 단점이 있는 스텝모터인데다 구동토크도 작은 축에 속하는 스텝모터를 선정했기 때문에 회전을 발생시키는데 실패한 것으로 ... 우리가 선정한 스텝모터는 유니폴라 2상여자 구동모터중에서 1.7kg급으로 작은 축에 속하는 스텝모터이다. ... 차후에 구동디스크 상에서 발생하는 토크를 정확하게 계산하고 작고 더 강한 토크를 발생시킬 수 있는 스텝모터를 선정해서 구동한다면 문제를 해결할 수 있다.
    리포트 | 7페이지 | 20,000원 | 등록일 2013.07.21
  • 모터제어
    각 상씩 여자 할때의 상태를 아래에 나타낸다.또한 step각이 1.8°의 일반적인 4상 motor에서는 회전자쪽에는 50회전자 이빨, 고정자 쪽에는 48의 고정 이빨이 각각 등간격으로 ... 복합형은 고정밀도, 높은 토크, 작은 스텝모터에 많이 사용된다.- Stepping모터의 장점· motor의 총 회전각은 입력 pulse수의 총 수에 비례하고, motor의 속도는 ... 모터가 움직이는 간단한 방식이더라도 그 프로그램과 제어는 복잡하다는 것도 알 수 있었다.실험을 통해 모터의종류와 그 구동방식,모터제어에 대한 이해를 하고 지식을 높일 수 있는 좋은
    리포트 | 15페이지 | 2,000원 | 등록일 2012.03.26
  • 스테핑 모터(stepping_motor)
    , 이 극의 수에 따라 motorstep각 등의 기본 특성이 달라진다. ... 것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게 다른 점은 shaft의 위치를 검출하기 위한 ... 일반적으로 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motorstepping motor가 많이 사용되고 있으며, 특히 pulse에 의해 digital적으로 제어하는
    리포트 | 14페이지 | 2,500원 | 등록일 2011.06.22
  • 모터제어 리폿
    - 스텝 모터(stepping motor, pulse motor, stepper motor)는 다른 AC servo, DC servo motor에 비하여 정확한 각도제어에 유리하여 ... 축은 정해진 각도로 회전하며 펄스 간격을 알맞게 조정하면 구동 방식과 속도제어를 가능하게 한다.2) 스텝모터의 특징1) 장점- 디지털 신호로 직접 오픈 루프제어를 할 수 있고, 시스템 ... Stepping Motor1) 스텝모터란?
    리포트 | 20페이지 | 1,000원 | 등록일 2011.12.16
  • 월드 IT 쇼 관람기
    조이스틱 모듈, 음악재생 모듈, 버튼, 릴레이, 부저, 가변저항, LED 모듈, RGB LED, 텍스트 LED, Dot 매트릭스, 그래픽 LCD, FND, 수압펌프, 서보모터, 스텝모터 ... 그 다음 기술이 RF 전송방식이다. RF 방식이 최종이 되지 않을까, 생각된다. '파워 리퍼블릭'은 무선으로 램프를 밝히고 있었다. ... 프로그래밍을 통해 작품을 구동한다.아두이노가 회로판이 되고 3D 프린터로 뽑아낸 것이 껍데기가 된다. 이두이노에게 명령을 내리기 위해서 C 프로그램을 사용한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2017.05.26
  • PWM을 이용한 스텝모터제어
    것이 가능하므로 micom에서 사용하기에 적합한 motor이다. stepping motor가 DC motor나 AC motor와 크게 다른 점은 shaft의 위치를 검출하기 위한 ... 일반적으로 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motorstepping motor가 많이 사용되고 있으며, 특히 pulse에 의해 digital적으로 제어하는 ... 모터의 회전각이 입력 pulse수에 비례하고, 모터의 속도가 1초간의 입력 pulse수에 비례한다. 1 step당 각도오차가 5% 이내이며 회전각의 오차가 step마다 누적되지 않는다
    리포트 | 5페이지 | 1,000원 | 등록일 2010.09.24
  • 기초전기전자 특별과제 - 스테핑모터
    전압 또는 전류의 급격한 변화의 반복 ⊙ 톱형 펄스 ⊙구형 펄스스테핑 모터구동 컨트롤러 드라이버 스테핑모터스테핑 모터의 구조 ↑ 3 상 여자 방식 ↑ 5 상 여자 방식스테핑 모터의 ... 스테핑 모터 - 구동 - 구조 - 원리 - 제어 스테핑 모터의 장단점 스테핑 모터의 종류 및 용도스테핑 모터란 ?펄스란 ? ... 구조에 따른 스텝각 1 펄스를 가함으로 18° 를 회전 스텝각 = A 와 B 의 각도차 / 로터의 수 - 90º / 5 = 18º스테핑 모터의 원리 자석간의 인력과 척력에 의한 회전원리
    리포트 | 15페이지 | 1,000원 | 등록일 2013.04.05
  • cnc 밀링 이론 레포트
    (open loop 제어) : 구동모터가 스태핑모터가 사용되며, 1펄스에 1단계 회전하는 것을 이용해 테이블 등을 수치로 지령된 펄스 수만큼 이동시킨다.② 반 폐쇄회로 제어방식(semi ... 볼스크류를 사용 못하는 대형기계에는 피니언 기도로 구동가능하나 위치결정의 정밀도가 낮다.④ 복합회로 제어방식(hybrid loop 제어) : 반 폐쇄회로와 폐쇄회로 제어방식을 절충한 ... 이렇게 CAD-CAE-CAM의 스텝이 일반적이고 독립적인 것으로 발전 되어 왔으나, 최근엔 CAD/CAM을 하나의 시스템으로 보는 경우도 있다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.12.21
  • 전동기의 종류와 구조 원리
    스텝모터의 특징을 더 열거해 보면 간혈 초고속 구동, 연속 회전, 정, 역전, 변속, 마이크로 스텝 구동 등을 들 수 있다. ... 이 가운데서도 간헐 구동은 스테핑 모터의 가장 자랑할 만한 특징이라 할 수 있다. 이 성질을 이용함으로써 1시간에 1스텝구동 1일에 1스텝 구동 등을 쉽게 실현시킬 수 있다. ... 또 마이크로 스텝 구동도 스테핑 모터의 고유기술인데 이들을 구사하면 초미세의 스텝각회전을 실현할 수 있다.(0.0072도) 또 연속 회전 구동의 경우, 입력펄스의 총수에 따라 최적인
    리포트 | 11페이지 | 2,000원 | 등록일 2013.05.12
  • 스테핑모터 실험
    Half step이란 Clock 으로 들어오는 펄스를 반만 이용한다는 의미이다. 즉 펄스 두 개가 들어와야 모터의 한 스텝(1.8°) 회전한다. ... 1)스테핑모터 작동하기시스템 구조제어부(PC)-스텝모터구동하기 위한 시스템 구조는 그림1과 같이 제어부, 구동드라이브, 동작부(모터)로 구성되어 있다.①제어부 : PC(Labview ... .19HALF/FULLL297의 Translator라는 블록에서 Half step과 Full step을 위한 알맍은 순서를 발생시킨다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.16
  • 스테핑모터, RC서보모터
    - 스테핑모터(stepping motor)는 스텝 모터, 펄스모터 등으로 불려지기도 하는 모터로서 이것을 직역하면 보진 전동기 또는 계동 전동기라고 할 수 있다. ... 알아본다.①RC서보모터(servo motor)란? ... 스테핑 모터를 빠르게 돌리고 싶으면 이 펄스의 주파수를 빠르게 하면 된다.③스테핑모터구동방식-스테핑 모터구동 방식은 그 권선 코일에 어떤 형태로 전류를 흐르게 하는가에 따라
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.16
  • 스텝모터제어 예비 보고서
    구동이 불가능한 상태가 된다.스텝모터의 종류는 권선방식이나 구조형식에 따라 VR (Variable Reluctance)형, PM (Permanent Magnetic)형, 하이브리드형 ... 또한 저가의 소형 스텝모터로는 PM형이 많이 사용된다.스텝모터는 스테이터를 여러개 두어 로터의 동작을 스테이터에 여자(자기화)된 극성으로 제어하는 방식을 갖습니다. ... 이와 같은 전압인가에 의한 모터구동을 1상 여자 방식 이라고 하며 더욱 정밀한 분해능을 갖는 모터는 스테이터의 수를 적절히 늘리거나 로터와 스테이터의 치수를 일정한 각의차이를 두어
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • LG전자 R&D하드웨어 연구개발직 자기소개서 및 면접후기 할인자료
    따라서 광센서와 LCD, 스텝모터를 이용해 자동 개폐문을 구현하였습니다. C언어를 기본으로 테스트 소스를 이용해서 광센서와 LCD, 스텝모터를 개별적으로 구동해봤습니다. ... AX 모터를 개별적으로 구동시켜봤습니다. ... 그리고 광센서에 빛이 들어오면 LCD에 CLOSE라는 글씨와 함께 스텝모터가 작동되지 않고, 광센서에 빛이 들어오지 않으면 LCD에 OPEN이라는 글씨와 함께 스텝모터가 작동되는 자동
    자기소개서 | 7페이지 | 3,100원 (15%↓) 2635원 | 등록일 2015.02.11 | 수정일 2021.01.04
  • [기초전자전기] 모터제어 보고서
    [실험 목적]- DC모터stepping모터를 조사하고, 이해하여 직접 배선을 연결해 프로그램 구동을 통해 속도를 조작 ? 제어 한다. ... ·모터의 회전각이 입력 펄스수에 비례하고, 모터의 속도가 1초간의 입력 펄스수에 비례한다.·1 step당 각도오차가 5% 이내이며 회전각의 오차가 step마다 누적되지 않는다. ... 이 방식모터의 코일에 교대로 전류를 흘리는 방식이다. 유니폴라 구동과 비교하여 트랜지스터가 2배 필요하다.
    리포트 | 12페이지 | 2,000원 | 등록일 2011.06.06
  • PWM스텝모터
    제목 : PWM을 이용한 스텝모터제어2. 실험 목적스텝모터Step에 의 해 구동되는 모터이다. 4개의 모터상이 있어서 한 스텝스텝 진행하면서 모터가 회전하는 것이다. ... 한스텝 당 보통 1.8' 씩 회전한다. 스테핑 모터는 AC servo, DC servo 모터에 비하여 값이 싸고 정확한 각도 제어에 유리하여 우리 주위에서 쉽게 접할 수 있다. ... 모터의 적용 예1) stepping 모터의 특징장점- 고분해능- 고정밀도- 각도 및 속도저어의 용이성- 자기유지력(Holding Torque)- OPEN LOOP SYSTEM 구성단점
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.20
  • [마이크로프로세서] 라인트레이서 RTX-51 사용 (설계)
    -task_1-task_1 부분은 직진부분으로 task_0에서 보낸 신호를 받아 step모터의 2상 구동 배열을 써서 직진을 수행하게 된다.task_3은 유턴부분으로 task_0의 ... 유턴은 카운터를 써서 그 수가 되면 유턴은 수행하고 타이머 변수는 초기화 된다.task_4 부분은 직진부분으로 task_0에서 보낸 신호를 받아 step모터의 2상 구동 배열을 써서 ... 신호를 받아 tep모터의 2상 구동 배열을 써서 유턴을 수행하게 된다.
    리포트 | 11페이지 | 1,000원 | 등록일 2010.11.09
  • power transistor를 이용한 스텝모터 cw-ccw 1상 ,2상 ,1-2,상 여자 제어
    구동방식은 아래 그림과 같다.2) 2상여자 방식: 고정자의 2개 코일을 아래 그리과 같이 동시에 여자하여 모터구동하여 모터구동시키는 방식. 1상 여자방식에 비해 2배의 입력신호를 ... 스텁모터의 상여자 방식여자[excitation]: 일반적으로 권선에 전류를 흘려서 기자력을 주는 것.1상 여자방식: 고정자의 1개 코일만을 차례로 여자하며 모터구동하는 방식으로 ... 스텝모터(power transistor)작성자 : 양태광1. 스텝 모터: 펄스 모양의 전압에 의해 일정 각도 회전하는 전동기.
    리포트 | 1,500원 | 등록일 2012.05.21 | 수정일 2014.03.24
  • STEP MOTOR를 이용한 360도 파노라마
    → 0.9 도 회전 구동 방식 정 방향으로 21.6 ˚ 씩 17 번 구동 역 방향으로 367.2 ˚ 돌아 원위치 스텝 A B /A /B 0 1 0 0 0 1 1 1 0 0 2 0 ... Drive 로 회로도 구성 PCB 제작 예정 21.6 ˚ 씩 17 번 구동 367.2 ˚ 회전 1-2 상 여자동작방식을 사용Step Motor test 1-2 상 여자 방식 1 Pulse ... 못한 장소의 이미지 정보를 얻기 위함 자신이 원하는 위치정보 가보지 못한 곳은 그 위치의 정보를 알 수 없음시스템 구성도 Atmega128 Motor Drive Step Motor
    리포트 | 21페이지 | 4,000원 | 등록일 2013.10.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대