• 통큰쿠폰이벤트-통합
  • 통합검색(125)
  • 리포트(90)
  • 자기소개서(23)
  • 시험자료(9)
  • 논문(2)
  • 방송통신대(1)

"시그널 후기" 검색결과 81-100 / 125건

  • 종합시험문제
    대표작품인 제 31번(D Major)은 당시 교향곡에서 일반적으로 2개의 호른을 사용한 것에 비해 4개의 호른을 사용하고 있고, 첫 악장도 독주 호른으로 시작하기 때문에 Horn signal이라는 ... 초기 교향곡들보다 큰 규모와 풍부한 화성, 빈번하게 변하는 악상, 감정표현의 극대화, 느린 악장에서 현악기에 약음기를 사용할 만큼 감정의 표현을 극대화 시킨 작품들로 알려져 있다.후기
    리포트 | 7페이지 | 2,000원 | 등록일 2011.10.18
  • 기초공학실험(원통형 압력용기설계및실험)
    신호 출력부- 게이지에서 발생되는 신호를 증폭기로 보내주는 선으로 통상 신호출력부(signal output)라 하며, Sig + (또는 S +), Sig - (또는 S -)로 표시한다 ... 결과분석 및 실험후기이번 실험은 strain gage를 이용해서 원통형 캔용기 내부의 압력과 응력을 알아내는 것이 목적이었다. ... 기타부분- 센서나 게이지의 shunt calibration을 위해 사용되는 선으로 cal로 표시되는 선과 Shield 또는 ground의 목적으로 사용되는 선으로 GND (또는 chassis
    리포트 | 14페이지 | 2,500원 | 등록일 2011.09.11 | 수정일 2016.10.14
  • 문화와 예술
    이것은 맑스의 허위의식에서부터 프랑크푸르트 학파의 우울의 과학에 이르는 후기 저작들에게 구원이라는 주제와 연결된다. ... 한마디로 세계는 한 끝에서 다른 끝까지 디지털 시그널을 보내는데 걸리는 8분의 1초의 거리를 두고 떨어져 있는 세상이 된 것이다.그리하여 정보화 사회로의 진입을 위하여 사회 각층에서
    리포트 | 8페이지 | 2,000원 | 등록일 2012.11.13
  • 성균관대학교 정보문해 Study Questions 04
    경우 외부 세계에 영향을 주는 기능을 가진다.동기체는 인지체, 작동체, 영향체가 가지는 기능들의 행동 기준과 각각의 기능을 부여하는 임무를 맡는다.▪ 유기체는 인지체를 통하여 신호(signal ... 통시적 관점에서 볼 때, 담론적 또는 학술적 지식이 사회의 주류로 자리 잡게 된 것은 근대의 후기 이후에 나타나는 현상이며, 그 이전에는 여러 형태의 지식 모형이 행동의 배경으로 작용하였다.지식사회학자
    리포트 | 5페이지 | 1,000원 | 등록일 2012.04.28
  • 심리학의 이해 요점정리
    항등자극법(method of constant stimuli).2) 변별(discrimination)과 차이식역(differential threshold).3) 신호탐지이론(signal ... 청년기(adolescence)12, 13세~22, 23세까지7 성인초기(early adulthood)22, 23세~40세까지8 중년기(middle age)40대~50대까지9 성인후기
    리포트 | 9페이지 | 2,000원 | 등록일 2010.05.16
  • 특수 청각검사(Electrophysiology)[AEP개념 이해하기 / AEP 기계 익히기 / 피검사 setting / Impedance]
    이러한 EEG는 noise 이므로 여러 가지 방법을 통해서 EEG 중 AEP signal만을 발취해야한다.3. 장비 : GSI AUDERA4. ... AEP는 자극 후 전기 신경반응의 latency에 따라 종류가 초기 중기후기 반응으로 나눌 수 있다. ... 반응으로는 음향자극 후 10~80ms안에 발생하는 반응으로 자극 후 15~50ms의 반응인 AMLR(Auditory Middle Latency Response)와 40Hz가 있으며 후기
    리포트 | 7페이지 | 1,500원 | 등록일 2008.09.17
  • 문학비평 정리
    이 때, 사회의 약속 즉 기호의 공신력을 ‘약호성’이라고 한다.4) 기호가 성립되려면 기호의 발신자와 수신자가 있어야 하는데, 발신자의 의도가 내재된 경우를 신호(signal)이라 ... ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 15독자중심비평 ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 19테마비평 ㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍㆍ 21후기구조주의와
    리포트 | 25페이지 | 3,000원 | 등록일 2010.07.15
  • 선박타의 양항력 성능시험 결과
    예를 들면, 압력 측정과 관련된 요소들은 비정상 실험 상태, 회류수조, 변환기(transducer), 변환기 환경, 신호 증폭기(signal amplifier), 전원 공급기(power ... 후기(Team에서 개인의 기여도에 대한 작성) 11.
    리포트 | 27페이지 | 2,500원 | 등록일 2010.10.31
  • 세포(The Cell)
    RER 내강측 막에 부착된 효소인 signal peptidase에 의해 SS는 제거된다.f. 단백합성이 끝나면 리보솜 소단위들은 서로 떨어져 세포질기질로 되돌아간다.?g. ... 대부분의 신호분자들은 친수성 (예, acetylcho은 리보솜에서 합성되 나온 신호연쇄가 신호연쇄인지입자 (signal recognition particle, SRP)에 결합된다. ... synaptic signaling?paracrine signaling?autocrine signaling?
    리포트 | 24페이지 | 1,500원 | 등록일 2007.12.07
  • 디즈니크루즈
    출처 후기Disney Cruise Line 소개Disney Cruise Line 1994. 03. 03 : Walt Disney 사가 Disney Cruise 시장 진입 계획을 발표 ... 바뀌는 조명과 액자가특징 비치 블랭킷 뷔페 뷔페 스타일의 실 / 내외 레스토랑그밖에 플루토스 도그하우스 , 피노키오스 피자리아 다이버션스 캐딜락 라운지 코브 카페 스쿱스 아이스크림 시그널
    리포트 | 54페이지 | 1,500원 | 등록일 2009.05.22
  • 이상보행, 비정상보행
    This systemdetects signals of the reflctive markers by 5 infrared camerasand analyzes those.Figure 2. ... 활보장(stride length)이 감소보행속도 저하보행 주기 중 양하지 접지기(double support)의 증가골반의 위아래로 움직이는 운동 감소고관절의 최대 굴곡 및 신전각 감소후기 ... 이는 고관절의 굴곡을 방지하기 위해 나타항상 뒤쪽으로 기울어짐2)중둔근에 마비(상둔신경)나 약화 시 보행 - 고관절 강한 외전근①환측하지 접지 시-> Trendelenberg's sign
    리포트 | 11페이지 | 1,000원 | 등록일 2010.07.28
  • [자연과학]Auxin signal transduction Pathway
    Auxin signal transduction pathway(옥신 신호전달 방법) 발표자 : 노 현 욱목차1. ... 시클로헥시미드에 무감각한 반응, 굴광선과 굴중성에 대한 후기유전자 탐침자로 사용할 수 있음 ㄷ. ... (기능) ubiquitin 단백질이 옥신 함유 유전자를 가져오 면 끝부분에 붙임 (2) AFB(auxin-signaling F-box protein) : TIR1과 같이 SCF에 작용2
    리포트 | 15페이지 | 1,000원 | 등록일 2006.12.06
  • 불안장애에 대하여
    심리학적 이론들(1)정신역동적 이론-임박한 위험impending danger을 경고하는 경계신호alerting signal■S. ... 억제할 때 생겨나는 생리적 긴장상태의 증가억압된 생각이나 소원에서 나타나는 막연한 걱정 또는 두려움 때문에 생기는 것외부적 불안 vs 내부적 불안anxiety → warning → signal ... Freud-danger초기- 용납할 수 없는 그러나 그 내용을 알 수 없는 막연한 무의식적 욕구가 의식을 위협할 때 느껴지는 것후기- 불안을 무의식계의 또는 내적인 갈등적인 위협이
    시험자료 | 29페이지 | 3,000원 | 등록일 2009.11.06
  • [공학기술]Verilog simulator를 이용한 MIPS single-cycle processor 설계
    프로젝트 후기 ---------------------- 18Part 1----------------------- Verilog simulator 사용방법 숙지 및 명령어 코드 작성* ... Diagram 창은 작성된 코드의 시그널 결과값 을 표시하는창으로, 프로세서의 최상위 모듈(mips_single)에서 내부시그널을 추가하고 상태를 볼 수 있는곳입니다.< 그림 1-1 ... 보기위해 프로젝트 창에서 보고 싶은 시그널을 추가합니다.->< 그림 1-2 시그널 추가 >* clk 을 100ns 한주기로 설정하고, reset 은 50ns high셋트한후 나머지는
    리포트 | 18페이지 | 1,000원 | 등록일 2007.06.06
  • 세포의 성장과 분열
    permanently : neuron(신경세포)° Cell cycle and stimuli- stimuli from G0 to G1 : Growth factors, mitogens, signals ... chromosome positioning at metaphase plate and each sister chromatid attached to centrosome③ Anaphase (후기
    리포트 | 7페이지 | 1,000원 | 등록일 2008.11.07
  • [영어영문학]The Great Vowel shift(대모음추이)
    / signal 중세영어에서 장모음으로 발음되었었다.영어 철자의 표준화가 초기 현대영어시기 초반에 시작되어 이후에 일어나게 되는 음 변화가 대체로 철자에 반영되지 않았을 뿐만 아니라 ... 후기중세영어에서 초기현대영어 사이에 발음에 있어서 여러 가지의 변화가 있었는데, 가장 주목할 만한 것이 바로 대모음전이(Great Vowel Shift)이다. ... 대모음전이(Great Vowel Shift)란 후기중세영어의 모든 장모음들은 혀의 높이가 더 올라가게 되었고 입 모양이 폐쇄되어 발음되었다. 올라갈 수 있는 a: , e: , ?
    리포트 | 3페이지 | 1,500원 | 등록일 2005.10.13
  • LED 특성과 기존 조명 기구 특성 조사
    , signal, display 분야로 확장되기 시작하였고 1992년 InGaAlP 재료를 이용한 초 고휘도 적색 LED가 개발되면서 응용영역이 넓어지기 시작하였다. ... 게다가 신호등과 같이 특정 파장대의 색상과 방향성 광도를 요구하는 신호장치에서 LED 조명시스템은 백열등 보다 효율적이 될 수밖에 없다.4.백열 조명백열등은 19세기 후기에 유래된 ... Monsanto 사에 의해 1969년부터 본격적으로 양산이 시작되었다.1980년대에는 AlGaAs 재료를 이용한 고휘도 적색 LED가 개발되면서 표시기 수준에 머물렀던 LED 응용범위가 sign
    리포트 | 21페이지 | 2,500원 | 등록일 2009.06.13 | 수정일 2018.04.26
  • 신경줄기세포에 관한 총괄
    줄기세포에 가해지는 외인성 신호(extracellular signals)의 변화신경발달 단계에 따른 특이화(II)신경줄기세포의 가소성가소성이 제한적이기는 하나 성체신경줄기세포를 다양한 ... 예) 발달후기 대뇌피질 신경줄기세포를 발달초기의 대뇌피질로 이식한 경우 신경계발달단계 초기의 신경세포로 분화하지 못한다.세포자체의 내인성 특징의 변화 발달과정에 있는 중추신경계의 환경변화로
    리포트 | 20페이지 | 1,000원 | 등록일 2008.05.21
  • 세포질골격에 관한 보고서
    elements)- 한쪽 방향에서 다른 방향으로의 세포들의 이동에 대한 섬모나 편모처럼 세포 의 운동소기관을 구성하는 부분으로서의 기능(5) mRNA의 번역을 위한 위치를 제공(6) 신호전달(signal ... 골수로부터 분리한 척수세포에 있는 중심체 쌍의 절편을 전자현미경을 통해서 보 면 횡단 절단시의 각 중심체는 3쌍으로 된 미세소관이 9개의 단위로 구성되어 있 다.미세소관은 유사분열 후기
    리포트 | 12페이지 | 2,000원 | 등록일 2009.04.11 | 수정일 2014.01.22
  • 품질관리가 기업경영에 미치는 영향에 대한 실증적 논의(사례를중심으로)
    얼라이드 시그널사의 여러 사업 부문 중에서 Engineered Material 부문의 매출액이 삼성전관과 비슷한데, 얼라이드 시그널사의 Engineered Material 부문에서 ... 나의 견해 및 작성후기­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­10-11pⅣ.참고문헌 ­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­­ ... 얼라이드 시그널사가 1994년 Six Sigma를 도입한 이래 Six Sigma로 인한 누적 효과는 1997년 말까지 직접 비용만 12억 달러에 이르렀으며 1998년 절감액은 5억
    리포트 | 10페이지 | 2,000원 | 등록일 2007.04.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대