• 통큰쿠폰이벤트-통합
  • 통합검색(31)
  • 리포트(30)
  • 방송통신대(1)

"32bit RCA" 검색결과 1-20 / 31건

  • [컴퓨터구조]Quartus를 이용한 32bit Ripple Carry Adder (RCA) 설계
    ( 0 % ) || Total RAM block bits | 0 / 32,768 0 | 0 | 0 | 3 (3) | 0 (0) | 0 (0) | |rca_32bit|full_adder ... -bit Ripple Carry AdderFile : rca_32bit.v----------------------------------------------------------y[ ... {제 목: {Project#1 : 32bit Ripple Carry Adder (RCA) 설계{{과 목 명:{컴퓨터 구조{학 과:{전자통신공학과{학 번:이 름:제 출 일:담당교수:
    리포트 | 13페이지 | 1,000원 | 등록일 2004.12.02
  • 반도체 수출 관련 A+ PPT
    64K DRAM 을 개발 1992 년 삼성전자가 64M DRAM 을 세계 최초 개발 일본 , 미국과 함께 반도체 생산 TOP 3 국가로 성장함 1. 4 64K DRAM : 64K 비트 ... 점유율 주요국 메모리 반도체 수출의 세계시장 점유율 변화 (2011~2016 년 ) 2011 년 23.9% 에서 2016 년 27.0% 로 상승세 지속 중국 , 무려 13.7% 상승 32 ... 주요국 수출 경쟁력출처 KITTA 보고서 https://goo.gl/NDA 보고서 https://goo.gl/NDvUKZ 주요국의 메모리 반도체 RCA 지수 추이 대만 중국 ( 홍콩
    리포트 | 62페이지 | 2,000원 | 등록일 2021.08.01
  • [디지털논리회로1] Ripple carry adder
    # Problem statement본 문제는 Quartus를 이용해 32bit Ripple carry adder(RCA)를 Verilog로 구현하는 것이다. ... 아래의 그림은 하나의 full adder에서 다음으로 캐리가 ripple되는 것을 위의 회로도 방법으로 설계된 2-bit ripple carry adder이다.구현한 32-bit ripple ... carry adder는 아래처럼 full adder 32개를 직렬로 연결한 것으로, 32비트 데이터(A, B) 두 개와 캐리(cin)를 입력받아서 두 수의 합(Sum)을 출력하고
    리포트 | 3페이지 | 2,000원 | 등록일 2015.03.16
  • [컴퓨터공학기초설계및실험2 보고서] 32-bit carry look-ahead adder (CLA) design
    CLB로 구성되어있다.* 32-bits CLA with Register/32-bits RCA with Registerflip-flop과 32bit CLA로 구성되어있다.마찬가지로 flip-flop과 ... CLA with Register와 32-bit RCA with Register결과가 같다.합성(synthesis) 결과* 4-bits CLARTL viewerfull adder와 ... 32-bit RCA로 구성되어있다.waveform에서 slack이 양수이기 때문에 violation이 발생하지 않음이 확인된다.고찰 및 결론고찰clock과 관련하여 처음 verilog로
    리포트 | 15페이지 | 2,000원 | 등록일 2015.04.12
  • verilog cla
    ; // 1bit carry coutwire [31:0] tb_s; // 32bit outputcla32_ uut (.cin(tb_cin), .x(tb_x), .y(tb_y), .s ... 선언 step=100으로 치환 즉 100ns임reg [31:0] tb_x, tb_y; // 32bit inputreg tb_cin; // 1bit carry inwire tb_cout ... Ci-1Sum은 Full Adderr를 사용하여 구현하고 Carry out은 별도의 Carry Block을 사용하여 구현해야 한다. 4비트 CLA Block안에서는 RCA를 이용하여
    리포트 | 23페이지 | 1,500원 | 등록일 2010.12.21
  • [컴퓨터공학기초설계및실험2 보고서] Ripple-Carry Adder (RCA) design
    Ripple Carry Adder (RCA) 설계4-bit Ripple carry adder는 4-bit를 가지는 두 개의 ut[4bit]Output[7bit]7-Segment Display ... Map ViewerFlow SummaryFPGA board targeting 결과아래는 4-bit RCA를 설계한 후 DE2-70을 사용하여 검증한 결과이다.0011(3) + 0001 ... 즉, 덧셈해야 할 2개의 비트(A, B)를 받아서 합(S)과 자리 올림 비트(C)를 생성한다.입 력출 력ABSC*************101위의 진리표를 가지고 논리식을 최소항으로
    리포트 | 20페이지 | 2,000원 | 등록일 2015.04.12 | 수정일 2015.04.24
  • [디지털논리회로] 프로젝트 - 고속 동작 덧셈기 설계
    RCA에서 가산기의 각 비트는 아래 비트로부터 carry 출력을 기다려야 하고, CLA에서, 모든 carry 출력은 미리 예측하여 한 번에 계산된다. ... 이때 덧셈기는 32-비트의 입력과 출력을 가지도록 한다.2) 설계 내용- VHDL 언어를 사용하여 설계한다. ... 때 32-bit CLA를 만들기 위해서는 8개의 4-bit CLA가 직렬로 연결되므로 32-bit CLA의 delay는 총 16Δg가 된다.2) 합성 및 분석- 16bit-CLA는
    리포트 | 19페이지 | 1,000원 | 등록일 2014.05.06
  • (방송통신대) 마이크로프로세서의 발전과정과 컴퓨터 산업에 기여한 점 및 최신 동향
    마이크로프로세서는 연산능력에 따라 4비트(4004), 8비트(8008?8080), 16비트(8086?8088?80286), 32비트(80386? 80486? ... 인텔사 외에 미국의 모토롤러사도 74년 8비트 마이크로프로세서인 MC6800을 개발했다. 1975년에는 MOS 테크놀로지 6502이 애플 II에 적용되었다. 1976년 RCA COSMAC ... 이밖에 2003년에 출시된 AMD 옵테론 240은 인텔의 기존 x86 세트를 32비트 소프트웨어의 성능 손실 없이 일체화된 64비트를 지원하도록 개발했다.이후 2005년 인텔은 최초의
    방송통신대 | 6페이지 | 3,000원 | 등록일 2013.04.11 | 수정일 2021.01.20
  • vhdl을 이용한 32비트 감가산기
    (2) fulladder를 사용한 N비트 리플 캐리 가산기 VHDL 소스 코드-- n_adder.vhd 파일 --library ieee;use ieee.std_logic_1164.all ... ;entity n_adder isgeneric(x: natural:=32);port (a, b : in std_logic_vector(x-1 downto 0);cin : in std_logic ... in std_logic; cin : in std_logic; cout : out std_logic; sum : out std_logic);end component;signal rca
    리포트 | 11페이지 | 1,000원 | 등록일 2007.01.19
  • [컴퓨터의이해 공통] 1.마이크로프로세서발전과정과 마이크로프로세서가 컴퓨터산업에 기여한 점 최신동향 2.매트릭스코드의 하나인 QR코드조사와 본인의 QR코드만들기_마이크로프로세서발전과정
    다가왔을 때, 인텔은 32비트를 지원하는 64비트 프로세서인 아이태니엄을 들고 나왔다. ... 불행히도 아이태니엄은 기존 32비트 코드를 느리게 구동시켰다. ... 이러한 트레이드마크는 하나의 상징이 되었고 현재까지도 그러하다.컴퓨터 테크놀로지 개발은 다른 것들과 마찬가지로 많은 변화를 수반한다. 32비트 세계에서 64비트 세계로 넘어갈 시기가
    리포트 | 15페이지 | 3,000원 | 등록일 2013.03.26
  • 마이크로컨트롤러 소개(8051,PIC 등)
    많은 양의 데이터를 운영, 32비트 단위까지도 처리.프로그램을 비교적 큰 RAM공간에서 수행.마이크로컨트롤러 – 단 하나의 IC내에 한 개의 CPU와 완벽한 마이크로 컴퓨터시스템의 ... 마이크로컨트롤러 소개1.개요1971년 인텔사에서 8080칩 소개이후 Motorola, RCA, MOS Technology, Zilog사에서 6800, 6801, 6502, Z80소개1976년 ... RAM, 27개의 입출력핀, 타이머1개 내장1980년 인텔사에서 MCS-51계열 8051소개 - 60000개 이상의 트랜지스터를 포함, 4K바이트ROM, 128바이트의 RAM, 32개의
    리포트 | 7페이지 | 1,000원 | 등록일 2012.05.02 | 수정일 2017.01.04
  • [디지털시스템실험(Verilog)] Arithmetic Logical Unit(ALU) 결과보고서
    이때 곱셈 연산의 경우 32bit binary간의 곱셈 연산의 결과는 32bit를 초과할 수 있으므로, 이 때의 상위 32bit를 'hi_val'에 나타낸다. ... RCA의 각 연산에서 쓰이는 carry값을 저장하는 wire로, 이 모듈에서는 의미가 없다.1bit wire 'carry', 'zero', 'sign', 'overflow'는 op가 ... 32bit의 2to1 MUX 2개를 이용하여, 입력받은 alusign를 select bit으로 하여 적절한 값을 선택하도록 하였다.
    리포트 | 6페이지 | 2,000원 | 등록일 2011.10.05
  • [디지털시스템실험(Verilog)] Address Generator, PC Calculation Unit, Branch Handler 결과보고서
    그중 carry in값인 trash[0]을 0으로 초기화하였다.base와 offset이 모두 32bit이므로, 32bit full adder를 이용하여 더하면 최종 output인 gen ... 하여 선택된 값을 result에 저장한다.이 때 MUX의 입력값 및 출력값의 크기가 32bit이므로 MUX는 32bit의 크기로 호출되어야 한다.enable = 0일 경우 output은 ... AND게이트 역시 32bit로 호출되어야 한다.시뮬레이션 결과는 첫 페이지에 나타내었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2011.10.05
  • [컴퓨터구조] Quartus를 이용한 32bit ALU (Arithmetic Logic Unit) 설계
    Full Adder와 32bit RCA를 바탕으로 함Case statement를 이용한 4 to 1 Mux 설계Overflow DetectionFA, MUX, O.D.을 이용한 32bit ... {제 목: {Project#2 : 32bit ALU (Arithmetic Logic Unit) 설계{{과 목 명:{컴퓨터 구조{학 과:{전자통신공학과{학 번:이 름:제 출 일:담당교수 ... 프로젝트 소개(1) 프로젝트 내용Verilog HDL 언어로 되어 있는 샘플 코드를 채워 32bit ALU를 완성 한다기능 레벨 시뮬레이션 (Functional simulation)
    리포트 | 17페이지 | 3,000원 | 등록일 2004.12.02
  • MIPS Processor multi cycle(verilog)
    -bit RISC Machine에 대한 이해2. ... Webpack Tool(혹은 Altera Quartus Tool)의 사용법 습득- FPGA 환경에서의 디지털 로직 설계 개념 이해 및 설계된 로직의 합성과 검증 과정 확인- 범용 32 ... -bit sMIPS single cycle processor(added bne, ori instruction)File : top_plus.v-----------------------
    리포트 | 23페이지 | 1,500원 | 등록일 2009.07.31
  • 교육정보화 정의, 교육정보화 목표, 교육정보화 중요성, 교육정보 통합규격과 서버, 교육정보 통합규격과 유지보수 및 활용 방안, 교육정보 통합규격과 교단장치,교육정보 통합규격 전망
    Mapping 등 제공ㅇANSI SQL 제공ㅇRDBMS또는 ORDBMS 지원ㅇ온라인 백업, 복구 기능 지원ㅇ동시 8 사용자 이상 지원ㅇWeb과의 연동 기능 지원Windows-NTㅇ32bit ... Composite RCA 1개 이상2개 이상5W × 5W이상내력하중 : 250Kg 이상비고튜너 제거 가능3) LCD 프로젝터항목액정 방식해상도 및표시색밝기램프 수명렌즈 방식메뉴기능입력
    리포트 | 8페이지 | 5,000원 | 등록일 2013.07.23
  • [VLSI설계]FIR 필터 설계 기술보고서
    RCA Layout >< 9-bit RCA Layout LVS >5)10-bit RCA< RCA 방식 10-bit Adder Schematic >< 10-bit RCA input ... 8-bit RCA Layout LVS >4)9-bit RCA< RCA 방식 9-bit Adder Schematic >< 9-bit RCA input data simulation x( ... RCA input data simulation y(0)~y(7) >< 8-bit RCA output simulation s(0)~s(8) >< 8-bit RCA Layout >
    리포트 | 50페이지 | 3,000원 | 등록일 2006.10.31
  • 마이크로 프로세서의 역사
    마이크로프로세서(1987∼ )National Semiconductor의 NS32332와 NS32532, NEC의 V70, Motorola의 MC68030, Intel의 80486 32비트 ... 처리방식을 도입하여 명령의 인출 및 실행을 병렬 처리함으로써 종래의 순차처리방식에 비하여 월등하게 처리속도를 향상제4세대 마이크로프로세서(1981∼ )1981년 Intel에서 발표한 32비트 ... 되어있으나 8bit H/W 와의 호환성을 유지하면서 16bit 마이크로프로세서에 가까운 성능을 가짐 1982년 Intel의 80286 과 Motorola의 MC68010 VMMU(
    리포트 | 10페이지 | 1,000원 | 등록일 2008.11.20
  • [컴퓨터 구조 및 언어][Quartus 2,max]Verilog HDL 이용한 32Bit Arithmetic Logic Unit(ALU)설계(mips)와 분석
    ("Verilog HDL"32Bit Arithmetic Logic Unit(ALU) 설계하기 )담 당학 과학 번성 명제출일32Bit Arithmetic Logic Unit(ALU) ... //output [31:0] result; //32bit의 결과값을 출력한다.//input [31:0] in_a, in_b; //32bit의 a.b값의 입력값을 넣어준다. ... 각각 연결해 줌////32bit_ALU의 최종구성 모델의 module을 구성하고 설계함//module ALU_32Bit(zero, result, carryout, overflow,
    리포트 | 40페이지 | 2,000원 | 등록일 2005.10.05
  • amd 와 인텔의 cpu 전략 유형 및 경쟁,시장상황
    * 1972 : 인텔 8080(8비트, 200KHz), 텍사스 인스트루먼츠 TMS1000(4비트)* 1974 : 모토롤라, 6800(8비트) , RCA, 1802(8비트)* 1975 ... 1983 : IBM, IBM PC/XT* 1984 : NEC, 8080호환 V20(8MHz), 8086호환 V30(8MHz) 발표, 모토롤라,68020(32비트) * 68010(32비트 ... , 4.77MHz), 다이토, 스페이스 인베이더* 1981 : NEC, PC-8001 발표, 내셔널 세미컨덕터, 성업용으로는 최초로 32비트 CPU 32000* 1982 : 인텔,
    리포트 | 11페이지 | 1,500원 | 등록일 2008.09.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대