• 통큰쿠폰이벤트-통합
  • 통합검색(16,336)
  • 리포트(13,679)
  • 자기소개서(1,462)
  • 시험자료(786)
  • 방송통신대(235)
  • 논문(102)
  • 서식(51)
  • ppt테마(12)
  • 이력서(6)
  • 노하우(3)

"제어기의 설계" 검색결과 81-100 / 16,336건

  • 2-link planar robot manipulator 제어설계
    설계 내용 요약상황에 맞는 2-link planar robot manipulator 제어기를 설계한다2. ... 로봇공학 - 설계 결과보고서2-link planar robot manipulator 제어설계(결과보고서)수행 팀원 :지 도 교 수 명 :1. ... 아래 조건을 만족하는 PD 제어기를 설계하시오.- 설계 조건 : 1) Disturbance와 uncertainty는 없다고 가정한다.2)정착시간(Settling time) 를 만족한다
    리포트 | 13페이지 | 1,500원 | 등록일 2013.03.15
  • Thermistor를 이용한 온도제어설계
    1) 설계된 회로의 회로도와 블록별 및 전체 회로의 기능 설명그림 1. 연산증폭기를 사용한 전압 기준회로와 브리지회로 및 계측증폭기 회로의 구성Ⅰ. ... 여기서는 보다 다양한 전압을 제공하는 기준 전압원을 설계하기 위해 제너 다이오드와 함께 연산 증폭기를 조합하여 사용한다. ... 다이오드가 도통 상태가 되더라도 제너 다이오드에 흐르는 전류의 변화가 매우 작도록 설계 되었다.Ⅱ. 브리지회로 : 변환기의 출력 특성을 측정한다.Ⅲ.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.04
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (결과) 설계실습6-(전압제어발진기)
    요약 : 이번 실험은 전압제어 발진기를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인하는 것을 목표로 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.12.17
  • [제어공학실험] 진 - 지상 보상기 회로 실험 설계 레포트
    우선 설계된 대호의 전달 함수를 구할 때G(S)= {s+500}overs+50*s+5555overs+55555 로 주파수가 낮은 대역에서는 진상 보상기의 영향을 많이 받고 주파수가 ... 높을떄 지상보상기의 영향을 받도록 설계 하였다 이를 잘보여주는 실험이 바로 3.5 의 실험으로ㅆ 주파수가 낮은 100hz 에서는 위상이 40도 앞섰고 주파수가 높은 1000hz 일 ... 제어공학실험REPORT지상-진상회로1. 실험목적지상-진상회로의 회로 해석과 그 특성을 관측함으로서 제어요소의 특성을 이해한다.2. 실험회로3.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.12.29
  • [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 ... ■ 개념설계▪ HBE-COMBO 트레이닝 키트 상에서의 동작 개요 -첫 번째 비트 데이터는 7-segment의 A그룹에 두 번째 비트 데이터는 B그룹에 표시되고, A와 B그룹의 ... dotmatrix블록에서는 "김정수"라는 문자열이 우에서 좌로 이동하면서 디스플레이된다.■ 회로구현▪ 2개의 4비트 2진 코드를 BCD코드로 변환하고 더하는 블록(adder4_bcd)설계
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • matlab PID제어설계
    제어기 C(s)를 붙여서 피이드백 제어하는 경우의 출력 y(t)의 sine 파형의 크기가 1 이하로 줄어들도록(즉 공진 현상이 안 생기도록) 제어기를 설계하자.2) 일반 외란에 대한 ... 어떠한 제어기나 어떤 설계 기법(Root locus, Nyquist diagram, Bode diagram 등)을 사용하여도 무방하나 설계 과정을 설명할 것.2. ... 이 제어 시스템에서 우리가 원하는 출력은 진동 변위가 0 인 것이므로 기준 입력 r(t)는 0으로 되어 있다.플랜트 전달함수:진동 제어기 C(s)의 설계는, 외란 d(t)에 의한 외팔보의
    리포트 | 9페이지 | 1,500원 | 등록일 2009.01.09
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (예비) 설계실습6-(전압제어발진기)
    VCO를 설계하는 방법은 매우 다양하나 이번 실험서는 OP-AMP를 이용한 적분기와 스위치 역할을 하는 BJT, 비교기로 사용될 슈미트회로로 구성된다. ... 전압 제어 발진기는 입력 제어 전압의 크기에 따라 출력 되는 신호의 주파수가 변하는 주파수 가변 신호 발생 회로이다. ... 일반적으로 제어 신호로써 전압을 사용하므로, 전압 제어 발진기 (VCO : Voltage Controlled Oscillator)로 불린다.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.17
  • [VerilogHDL] 4bit 2진 덧셈기 설계(LED 및 dotmatrix 제어)
    디지털설계ⅡLED와 Dotmatrix에 연산 결과 값과 사용자지정 값이 출력되는 4비트 2진 덧셈기 설계목 차설계개요개념설계회로구현시뮬레이션결과검토■ 설계개요HBE-COMBOⅡ 트레이닝 ... 한편, Dotmatrix블록에서는 "Verilog HDL"이라는 문자가 좌에서 우로 디스플레이 된다.■ 회로구현1비트 2진 덧셈기 블록(bitadder) 설계입력출력CINABCOUTSUM0 ... A2, B2, carry2, carry3, SUM[2]);bitadder add4 (A3, B3, carry3, COUT, SUM[3]);endmodule3 X 4 keypad값을 제어하는
    리포트 | 8페이지 | 1,000원 | 등록일 2015.08.02
  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 9까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이
    리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 논리회로설계실험 스텝모터 제어기의 설계
    1.VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity motor2_rot isport (CLK_4M : In s..
    리포트 | 6페이지 | 3,000원 | 등록일 2010.12.22
  • 4차선 신호등 제어설계를 위한 VHDL 코드 및 결과보고서
    실습 제목 : 4차선 신호등 제어설계2. ... 실습 목적 :앞서 배운 코드에 대한 이해와 순차회로 및 클럭의 역할을 공부함으로써 특정 주기로 LED와 7-SEGMENT를 제어하는 4차선 신호등 제어기를 설계함으로써 그 내용들을 ... 실습 내용(1) 4차선 신호등 제어설계를 위한 VHDL 코드(2) vhdl 코드를 작성한 후 프로그래머를 위한 핀 할당(3) vhdl 코드에 대한 시뮬레이션4.
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 퍼지 제어기의 설계
    퍼지 제어기의 설계일반적으로 모든 종류의 제어기를 설계하는 과정은 제어대상의 선정, 모델링, 해석, 설계 및 검증의 다섯 가지의 큰 범위로 이루어진다. ... 퍼지 제어설계과정을 단계별로 구분해 살펴보자. 우선, 퍼지 제어설계를 위해서는 무엇을 제어해야 할 것인가를 알아야 한다. ... 퍼지 제어기의 설계과정 또한 일반 제어기의 설계과정과 큰 범위에서는 같지만, 표현방법에서 오는 여러 가지 근본적인 차이점을 고려해 주어야 하기 때문에 세부적인 면에서 퍼지 제어기만의
    리포트 | 11페이지 | 2,000원 | 등록일 2006.10.24
  • 논리회로설계실험 7-Segment 제어설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • Matlab을 이용한 DC모터 제어설계
    Matlab을 이용한 DC모터 제어설계 및 성능평가설계사양● Overshoot 16%이내● 0.04초 이내의 정정시간● 정상상태 오차 없음● 제어 입력은 전압, 제어 대상은 DC ... 모터의 위치● PID를 설계하여 위치 제어를 할 것제어 파라미터들SymbolDefinitionValueJ관성모멘트3.2284e-6[kg·m2/s2]B댐핑 계수3.5077e-6[N· ... 전달함수를 얻기 위해, 속도를 적분한 식인 다음식을 얻을 수 있다.5식이 전달함수 5식이 우리가 얻고자 하는 위치제어를 위한 전달 함수 이다.PID 설계위치 제어 전달함수가 식5와
    리포트 | 11페이지 | 3,000원 | 등록일 2009.11.30
  • 전자회로실험및설계 : 8051 MCU의 PWM 제어로 LED 밝기 제어
    LED가 LED 보드의 5V 전원과 연결되어 있어, 다른 크기의 전압을 인가하여 LED의 밝기를 조절할 수 없다. ... 사각파의 High일 때의 크기를 , Low일 때의 크기를 이라고 하면, Duty cycle D는 로 나타난다. 일반적으로 사각파의 Low를 0으로 잡는데, 이것을 적용하면 이다. ... 그러나 MCU의 Port 출력 값으로 LED에 흐르는 5V 전원을 ON, OFF할 수 있기 때문에 PWM을 사용하여 Port 출력 값의 Duty cycle을 조절하면 LED의 밝기를
    리포트 | 7페이지 | 1,000원 | 등록일 2011.12.28
  • 상호 작용이 있는 액위 시스템 PI/PD 제어설계 텀 프로젝트 보고서
    PI제어기의 Zero를 ? ... Transient response역시 일정수준 맞추어 놓았으므로 D-component를 Feedback 부분에 추가하여 최종적으로 PID제어기를 구성하며 요구하는 Transient ... Locus(5) damping=0.54 게인값 7.51P.O= 27.1% Ts=10.1(sec)(6)Gain값을 9로 잡았을 때 Closed loop System 의 동적 특성(7)PI 제어기를
    리포트 | 9페이지 | 2,000원 | 등록일 2014.12.10
  • 자일링스(Xilinx)를 이용한 신호등 제어설계
    ) 센서를 통한 교통시스템 제어교통신호등을 보면 빨간색,초록색,노란색, 좌회전 등이 반복되는 것을 볼 수 있다. ... 4개의 신호를 가진다.1) 사거리 제어와 횡단보도우선 왼쪽의 그림을 보면 사거리에 4개의 신호등이 있고 8개의 횡단보도가 있는것을 볼 수 있다. ... 내용2.1 목표설정사거리 신호등 제어(4거리에 신호등이 있고, 그에 따른 횡단보도가 각각 있으며, 센서로 교통량을 감지)우선 위에서 보이는 것처럼 신호등은 빨강, 노랑, 좌회전, 초록의
    리포트 | 8페이지 | 2,000원 | 등록일 2010.06.09
  • MATLAB을 이용한 PID제어설계
    설계PID 제어기 =P I D 제어설계P I D 제어설계PID차량 모델링진자 모델링P I D 제어설계Damping ratio10°5°15°결과 및 고찰P DpI결과 및 ... 시뮬레이션을 통하여 시스템의 특성을 확인하고, 이를 바탕 으로 원하는 성능을 얻을 수 있도록 제어기를 설계한다. 5. ... 기준 입력 신호를 이용하여 모델 변수를 추정하고 이를 바탕으로 주어진 제한 조건을 만족하는 제어기를 설계한다.설 계 내 용1.
    리포트 | 16페이지 | 4,000원 | 등록일 2008.06.22
  • UJT 이장발진기를 이용한 SCR 위상제어 회로설계
    UJT 이장발진기를 이용한 SCR 위상제어 회로설계1. 명제UJT 2N2646을 써서 이장발진기를 설계하고 이를 이용하여 SCR 위상제어회로를 설계한다.2. ... Tool을 이용하여 SCR 위상제어회로를 설계한다⑥ Simulation을 통해 발진 주파수및 전압 파형을 확인한다.⑦ 오차 보정을 통해 SCR위상제어 회로의 동작을 최종 확인한다.4 ... 설계의 목적(1) UJT의 구조 및 동작원리를 이해한다.(2) UJT를 이용하여 이장발진기를 설계하고 그 동작원리와 발진주파수를 계산한다.(3) UJT를 이용하여 SCR 위상제어회로를
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:47 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대