• 통큰쿠폰이벤트-통합
  • 통합검색(16,336)
  • 리포트(13,679)
  • 자기소개서(1,462)
  • 시험자료(786)
  • 방송통신대(235)
  • 논문(102)
  • 서식(51)
  • ppt테마(12)
  • 이력서(6)
  • 노하우(3)

"제어기의 설계" 검색결과 161-180 / 16,336건

  • 명지대 화공개론 프로젝트 보고서
    반응기 설계2-6. 배출물의 온도2-7. 열교환기 설계2-8. 공정제어2-9. 경제성 분석3. 결론3-1. 설계 결과3-2. 설계 후 느낀점1. 서론1-1. ... (지금까지 설계한 HCl의 저장조)(공정을 제어하기 위해 설계한 HCl의 저장조)이 밸브를 수동으로 제어하기는 인건비도 들고, 상당히 귀찮을 수 있기에 자동으로 제어할 수 있도록 센서를 ... 부착해 컨드롤한다.다음으로 혼합기에서 중화된 산의 pH를 제어하기 위해 혼합기를 나오는 액체의 pH를 측정해 NaOH의 유량을 조절하는 농도제어기를 설치한다.또한 최종 액체의 유출
    리포트 | 11페이지 | 1,000원 | 등록일 2020.07.05
  • 대기오염방지기술 - 9장
    대기오염 공학도는 대기오염 제어공정의 설계와 제작의 초기 발상 단계부터 장치의 가동 때까지 참여기회를 때때로 가질 수 있다. ... 공장에서는 대형 분쇄기에 의해 약 10~15cm 이하로 분쇄된다. 그 다음, 롤러 분쇄기(roller mill)로 유입되어 더욱 잘게 부순다. ... 건조된 혼합물은 공기접촉 냉각장치를 통과한 후 선적되기 전까지 저장된다.  건조로에서 배출되는 가스의 온도는 550℉이고, 오염된 가스는 대기로 배출되기 전에 적절한 제어장치에 의해
    시험자료 | 5페이지 | 1,500원 | 등록일 2019.11.04
  • [기계공함실험 A+] PDI 제어실험 보고서
    만약 실패함을 확인하였다면 P, I, D 이득을 조절하여theta _{d} =0을 달성하기 위한 새로운 PID 제어기를 설계하고 응답(시간-각도 그래프)을 도시하시오.위에서 선택한 ... 빠르고 정확하게 원하는 값에 도달하며, 안정하고 정상 상태 정확도가 높고, 값에 도달하기 까지 불필요한 진동이 적었기 때문에 적합하다고 판단된다.▶ 위의 고찰에서 선택한 PID 제어기를 ... (1) P제어(비례항): 오차 ωd(t)-ω(t)에 비례하는 입력을 인가하여 제어(2) PI제어(적분항): ωd(t)-ω(t)의 적분값을 추가로 인가해 정상상태 정확도를 향상시킨다.
    리포트 | 7페이지 | 4,000원 | 등록일 2020.10.15 | 수정일 2021.04.09
  • 현대자동차 PT면접(1차면접) 최종합격본
    객체지향 프로그래밍 전자회로 전자회로 설계실습 반도체공학 운영체제 자동제어 통신공학 회로설계 전기회로 설계실습 아날로그및디지털회로설계실습 (B0) 회로및시스템 마이크로프로세서응용실습 ... 전기회로설계실습 전자회로설계실습 객체지향프로그래밍 운영체제 마이크로컴퓨터시스템 회로설계 디지털시스템설계 마이크로프로세서응용실습 확률변수론 자동제어 신호및시스템 통신공학 HW SW ... 2019 ㅇㅇ대학교 지능형 모형차 경진대회 멘토링 2018 ㅇㅇ대학교 지능형 모형차 경진대회 참여 주행기록 횡단보도 ( 속도제한구역 ) 장애물 회피 자율비상제동 평가요소 대회장의 밝기
    ppt테마 | 13페이지 | 19,000원 | 등록일 2023.06.02 | 수정일 2023.08.24
  • 6. 위상 제어 루프(PLL) 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    설계실습 계획서6-3-1 위상제어루프의 용도이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.위상 제어 루프는 전압 제어 발진기의 출력 위상을 ... 아날로그 및 디지털 회로 설계 실습-실습 6 예비보고서-위상 제어 루프(PLL)학과 :담당 교수님 :제출일 :조 :학번 / 이름 :6-1. ... 가변 발진기는 제어 신호의 크기에 따라 출력되는 주파수가 변하는 발진 회로이다.전압 제어 발진기의 출력과 기준 신호가 위상 검출기에 인가되면 위상 차이에 해당되는 파형이 루프 필터에
    리포트 | 11페이지 | 1,000원 | 등록일 2022.09.06
  • 방송통신기사_22~18년도_기출문제_키워드별 요약본_1,5과목 제외
    제어→ Effective Field Control 유효필드제어→ Continuous Counter 연속카운터→ Valid Field 유효필드→ Payload Data구분키워드No.연도과목 ... 라디오 방송 송수신 원리- 디지털 라디오 전송방식방송통신기기UHDTV방송기술- AM,FM 방송 송출기기- AM,FM 방송 송수신기기- 디지털 라디오 방송기기방송통신시스템정보 시스템설계 ... 개요- 소셜미디어의 원리방송통신기기TV방송종합편집- 소셜미디어기기의 개요- 소셜미디어의 종류 및 측정방송종합품질관리- 소셜미디어 전송망- 소셜미디어 송수신기기방송통신시스템정보시스템설계구축
    시험자료 | 57페이지 | 7,000원 | 등록일 2023.04.18
  • Matlab Simulink PMSM 속도제어 보고서[단국대,전기기기 A+보고서]
    설계 결과6. PI제어기 과정7. 고찰1. ... PMSM Block diagram 세부사항(1) PI 제어기그림 1. PI 제어기 내부 회로도-PI 제어기의 구조는 위 블록선도와 같고 식은 다음과 같다. ... 이런 PMSM을 사용하여 본 전기기기 설계에서는 Matlab의 Simulink를 사용해 PMSM속도제어 설계를 진행한다.2.
    리포트 | 21페이지 | 4,900원 | 등록일 2020.05.13
  • [인팩] 2021년 하반기 합격 자소서 (선행기술)
    그중 저는 PCB 기판과 연산증폭기를 활용한 회로도 설계를 담당했습니다. ... 또한, 미래 자동차산업의 핵심이라고 할 수 있는 베터리시스템 및 전동화제어와 관련된 친환경기술분야에 진출하여 친환경 에너지를 실천하며 나아가는 모습이 저의 가치관인 ‘좋은 본보기’와 ... 이는 저의 탐구정신과 도전정신을 일깨웠습니다.이에 대학교 4학년 실무 경험을 쌓기 위해 ‘스마트 공장 IOT설비연동 전장설계제어과정’ 교육에 참여했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.03.07
  • [PPT] 한눈에 들어오는 깔끔한 대학 / 취업 용 포트폴리오 양식 (프로젝트 관련)
    기능성 : 조립용이성 , 내구도 등을 개선시킨 완구로 봇 안드로이드앱으로 기구 모듈제어 부분제어 카메라를 이용한 라인인식 자율주행전 국 대회 수상경험 201X 작품사진 201X 전국학생경진대회 ... 교과과정 실습위주의 강의내용 학생 참여도 팀 프로젝트 얻는 것이 많은 교과목 제한적 재료 , 크기 프로그래밍 과목에 대한 견해 . . . . . . ... 회로 실 무 전력전자회로 실 험 제도 및 CAD 3 차원 CAD 디지털 논리회 로 컴퓨터 프로그래밍 E - CAD 창작과제 창의적 공학설 계 창의과제기초 창의과제응용 윈도프로그래밍 기
    ppt테마 | 10페이지 | 1,500원 | 등록일 2022.01.11 | 수정일 2022.01.16
  • A+ 기계공학 응용실험 6. Cantilever Beam의 진동제어 실험 예비 레포트 (예비 보고서)
    이는 기존에 공진 회피 설계를 위해 감쇠 재료를 이용하는 등 수동적인 제어 방식에서 벗어나 일반 변위를 계측하여 진동을 억제하는 방법이다. ... loop control)는 시스템의 입력 신호와 되먹임 신호의 차이를 작동 오차 신호로 하고, 이 신호를 제어기에 되먹임하여 오차를 줄이는 제어이다.폐루프 제어의 장점은 오차를 줄이고 ... 세탁기 소음세탁기는 세탁물과 세제, 물을 섞어서 빨래를 하는 기계이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.09.11
  • [아날로그 및 디지털 회로 설계실습] 예비보고서6
    설계실습 계획서3.1 위상제어루프의 용도(a) 이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.전압 제어 발진기의 출력 위상을 입력 신호의 ... VCO가변 발진기는 제어 신호의 크기에 따라 출력되는 주파수가 변하는 발진회로이다. ... 위상과 비교하여 두 입력의 위상 차이를 가지고 전압 제어 발진기의 input dc 전압을 제어하는 피드백 시스템이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2022.09.14
  • [동역학제어실험]실험 5-동흡진기(Dynamic Absorber)를 이용한 진동 제어 실험
    동역학 제어 실험 보고서실험 5 : 동흡진기(Dynamic Absorber)를 이용한 진동 제어 실험2020.10.14.서 론1.1 배경기계진동학 수업에서 1자유도일 때의 mass-apring-damper ... 주진동계의 과도한 진동을 감소시키기 위해 결합되어지는 2 차 진동계를 동흡진기라고 한다. 이런 진동의 흡진 현상을 이해하고, 동흡진기를 설계하는데 이번 실험의 목적이 있다.2. ... 설계하면 주진동계의 진동을 감소시킬수 있다.
    리포트 | 20페이지 | 3,000원 | 등록일 2021.11.17
  • 논리회로실험_신호등(사거리) 레포트
    실험 내용남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다.1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 상태의 출력 값이 중복되는경우가 있기에 회로의 최적화를 위하여 불필요한 경우를 줄이게 되면 최종 상태는 표 2와 같다.2) State Transition Diagram그림 1 교통신호제어기 ... 실험 설계 과정6나. 실험 결과143. 결론16가.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 회사소개 및 설비제안서
    디스플레이 / 반도체 소재가공 구동부 모듈 시스템 설계 대전 방지 코팅 분체 도장 자동화 제어 시스템Part 1, 일반 현황 기업명 대표 이사 설 립 일 임직원 수 사업 분야 주요 ... 구동부 및 자동화 기구 설계 및 PLC /PC 제어 대전 방지 코팅액 개발 및 특허 기술 ( 다수 특허 보유 ) 정밀 스테이지 / 기계 부품 가공 02 03 05 04 1 2 3 ... 제작 납품 대전방지 코팅기술 특허 취득 ISO 14001 인증 반도체 부품 가공 납품 FPD 패턴검사기 정밀부품가공 비접촉식 반송장치 가공 및 조립 FPD 패턴검사기 정밀부품가공
    ppt테마 | 20페이지 | 2,500원 | 등록일 2023.07.27 | 수정일 2023.08.10
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • A+ 받은 모의주식투자보고서 PPT
    제품 / 사업 : 협동로봇 , 협동로 봇 자동화 플랫폼 , 로봇제어기 제조 5. 특징 : 로봇관련주기업 주요 제품 소개 뉴로메카 02. ... 업종 : 비메모리용 및 기타 전자집 적회로 제조업 ( 시스템 반도체 설계 및 제조업 ) 4 . 제품 / 사업 : 기과와이어 , 광트랜시버 , XGSPON 제조 5 . ... 일 ~12 월 31 일까지 제 8 기 : 2021 년 1 월 1 일 ~12 월 31 일까지 제 7 기 : 2020 년 1 월 1 일 ~12 월 31 일까지 두산밥캣 02.추후 , 우크라이나
    ppt테마 | 23페이지 | 2,000원 | 등록일 2024.03.16
  • [PPT] 한눈에 들어오는 깔끔한 대학 / 취업 용 포트폴리오 양식
    외 다수 전자제어 계측 기술자 , 전력 전기공학 기술자 , 전기공사 기술자 , 전기제어 기술자 , 전기안전 기술자 , 도체설계 기술자 , 전자제품 개발 설계기술자 , 전자 부품 .. ... , 응용 가능 확실한 기초지식 , 특정 소프트웨어 이 용 특기 프리젠테이션 , 코딩 01 1홍길동 이력서 인 적 사 항 성 명 이름 생년월일 영문 연락처 E-mail 주소 학 력 기 ... 그림 5) 직업 적성검사 결과 각종 전기 , 전자 관련 제조업체 , 전기공사 , 통신업체 , 건설회사 , 전력 및 설비제조업체 , 전자기기 설계 및 제조 업체 , 음향기기 , 화상기기
    ppt테마 | 16페이지 | 3,000원 | 등록일 2022.01.07 | 수정일 2023.06.16
  • 전동기제어_건국대_HW2_3.08kW 영구자석 직류 서보 전동기 제어
    Anti-windup 제어기가 없다고 가정, 전류 제어기 대역폭이 1000Hz가 되도록 PI제어기의 이득을 결정, 0~0.04초 동안 다음 파형을 시간 축에 대해 도시하라.1.  ... 0.04초 동안  ∗  > ...  와  ∗ 2.   와 ∗3.   4.  ∗ 5. 0.039~0.04초 동안  ∗  와 실제 전동기에 인가되는 PWM 전압 파형< ∗  >
    리포트 | 8페이지 | 30,000원 | 등록일 2023.06.28 | 수정일 2024.03.07
  • 전기엔지니어 국문 경력기술서입니다.
    -SIMENS PLC와 REXROTH HNC와의 PROFIBUS 통신을 사용한 HYDRAULIC MOTION 제어경 력 기 술 서 ... -FESTO AIR PRESSURE 밸브 제어를 CC-LINK 통신을 사용한 회로 설계 단순화 구현 성공.회사명릅진테크㈜부서제어개발부직급주임주요업무(프로젝트명)Plate Welding ... -AUTOMATIC ROBOT과의 상호 INTERLOCK 회로를 설계제어.
    이력서 | 1페이지 | 1,500원 | 등록일 2019.12.11
  • 전자종합설계
    비절연형 DC-DC 컨버터는 입력과 출력을 전기적으로 절연되지 않는 회로이고, 절연형 DC-DC 컨버터는 변압기를 사용하여 입력과 출력을 전기적으로 절연된 회로이다.
    리포트 | 7페이지 | 3,000원 | 등록일 2019.11.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대