• 통큰쿠폰이벤트-통합
  • 통합검색(23,698)
  • 리포트(21,558)
  • 시험자료(1,180)
  • 방송통신대(477)
  • 자기소개서(291)
  • 논문(88)
  • ppt테마(48)
  • 서식(40)
  • 노하우(9)
  • 이력서(6)
  • 전문자료(1)

"2비트" 검색결과 81-100 / 23,698건

  • [논리회로] 2비트 비교기
    ********1010011110000100010G = A + B + AB1.ABCD00011110001000010100110010100001E= + B D + A C + ABCD2비트 ... 고찰우리가 이번에 한 실험은 두 수중 한 수가 다른 수보다 큰가, 작은가 아니면 같은 가를 결정하는 동작이다. 2개의 N비트의 수를 비교하기 위한 회로는 22N개의 기입항을 진리표로 ... CDABCDEGL00001000001001001000100110010100010010110001100010111001100001010010101010100101100111000101101010111001011111002. 2비트
    리포트 | 4페이지 | 1,000원 | 등록일 2002.03.26
  • 1. 컴퓨터에서 사용되는 비트, 바이트의 개념과 데이터 표현단위인 필드, 레코드, 파일에 대해 설명하고 실제 사용 예를 들어라. 2. 본인이 생각하는 인터넷의 악영향에 대해
    이만큼 bit는 컴퓨터의 가장 기본적인 단위고 필수적인 요소지만 현재 우리의 컴퓨터에게는 작은 단위로 인식되고 있다.- 비트(bit, Binary Digit)컴퓨터는 모든 자료를 이진수 ... 전산개론 - 비트, 바이트의 개념과 필드, 레코드, 파일에 대해 설명하고 실제 사용 예, 인터넷의 악영향에 대해 실제 사례, 2진 비교기는 두 개의 2진수의 크기를 비교하는 회로, ... 우리는 온라인 게임 공간에서 우리의 청소년들이 보이는 다양한 행위와 행동들의 법칙에 대해 새로운 학습을 해야 한다.3. 2진 비교기는 두 개의 2진수의 크기를 비교하는 회로다. 1비트
    리포트 | 6페이지 | 3,000원 | 등록일 2012.09.06
  • verilog 로 구현한 12bit ripple carry adder, 2stage 과 3stage 파이프라인에 따른 비교 [code 포함]
    12bit ripple carry adder 를 2stage 와 3stage 파이프라인에 따른 비교 결과입니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2010.07.21
  • [컴퓨터구조] max+2 vhdl을 이용한 16비트 기본컴퓨터 설계
    TERM PROJECT16비트 컴퓨터 설계과 목 명:컴 퓨 터 구 조학 과:전기전자정보전공학 번:이 름:제 출 일:담당교수:16비트 컴퓨터1. 컴퓨터의 구성 및 전체 블록도2. ... DISCUSSION16비트 컴퓨터 설계■ 컴퓨터의 구성1. ... 전 체2.
    리포트 | 19페이지 | 3,000원 | 등록일 2004.10.05
  • [컴퓨터, 논리회로] 논리회로 실습 - Design of a Four bit adder 2
    Measuring the time between event using the crosshairView Trace Print preview그림
    리포트 | 3페이지 | 1,000원 | 등록일 2004.11.06
  • MPEG-2 비트열에 발생한 슬라이스 단위 손실에 적합한 에러 은닉 기법
    ..PAGE:1MPEG-2 비트열에 발생한 슬라이스 단위 손실에 적합한 에러 은닉 기법..PAGE:2ContentsMPEG-2 계층구조MPEG-2 비트열 에러 패턴에러 은닉 기법제안된 ... 에러 은닉 방법실험 결과..PAGE:3MPEG-2 영상 데이터 계층구조..PAGE:4에러 특성압축된 영상 데이터 전송 시 임의의 셀 손실, 비트 오류 발생오류의 영향이 전파되는 특성 ... (VLC coding)MPEG-2에서는 각 계층별 고정된 길이의 start code 할당복호기가 다음 start code를 발견할 때까지 오류는 계속 전파됨일반적으로, 슬라이스 단위의
    리포트 | 31페이지 | 2,500원 | 등록일 2003.05.07
  • [정보통신실습] 4-bit 2진 가감산기 회로 조립 및 측정 작업
    ▶ 작품명 : 4-bit 2진 가감산기 회로 조립 및 측정 작업▶ 학습 목표?IC 7483 동작을 이해하고 설명할 수 있다.?가산기/감산기를 조립하고 동작할 수 있다.? ... 이와 같은 요령으로 n개의 전가산기를 연결하면 n비트로 구성된 2개의 2진수를 더할 수 있는 이진병렬가산기를 쉽게 구성할 수 있다. ... 그림 1-2는 2개의 반가산기와 1개의 OR 게이트를 사용하여 전가산기를 구현한 회로이다.이진병렬가산기는 복수개의 비트들로 구성된 2진수 2개를 더해 결과를 출력하는 조합회로로, 그림
    리포트 | 4페이지 | 1,000원 | 등록일 2004.05.26
  • [컴퓨터 구조 및 언어][Quartus 2,max]Verilog HDL 이용한 32Bit Arithmetic Logic Unit(ALU)설계(mips)와 분석
    ;; |mux_4to1:result_mux| ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 (0) ; |ALU_32Bit|ALU_unit ... |ALU_unit:u21 ;; |full_adder:adder0| ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; 0 (0) ; |ALU_32Bit ... //input [2:0] ALU_control; //3bit의 ALU 제어 입력값을 넣어준다.
    리포트 | 40페이지 | 2,000원 | 등록일 2005.10.05
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서7
    F/F을 이용한 2-bit RAM 회로이다. ... 물론 쓰기동작은 어드레스에 저장되어 있는 데이터를 파괴한다.※ 1BIT RAMAddress와 RW신호에 따라 주소를 찾고 DATA를 저장하거나 가져온다.※ 2BIT RAM→ R-S ... RAM (74HC00 2개, 74HC03)실험 과정: 준비한 결선도를 참고하여 아래 그림과 같이 2-bit RAM을 74HC00과 74HC03을 이용하여 회로를 구성한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • A+받은 카운터(계수기,COUNTER) 회로 예비보고서 PSPICE
    따라서 본 2-bit 계수기는 Clock 신호에 맞춰 각 JK f-f가 토글되는 2-bit 계수기 회로이다. 이때 첫 번째 출력.. ... (2) 계수기의 동작두 개의 JK f-f로 구성된 2-bit 계수기는 그림 2와 같이 구성할 수 있다. ... 만약 N-bit의 Counter가 필요한 경우 N개의 flip-flop을 사용하여 계수기를 구성하면 된다.(1) JK flip-flop의 토글 동작 JK flip-flop은 J, K
    리포트 | 5페이지 | 1,000원 | 등록일 2022.12.28
  • Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트
    실험 제목1) Vivado를 이용한 half adder, full adder, 4 bit adder의 구현2. ... Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트1. ... “Half Adder”[2] © 2015 - 2022 ChipVerify “Verilog initial block”
    리포트 | 6페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 5주차 예비+결과(코드포함) Combinational_Logic_Design_II Decoder, Encoder and MUX
    Fig.2.와 같이 구성하였는데 인풋의 비트만 바뀌었다. ... 인풋 I0, I1이 2비트이고, S는 0또는 1이며 S의 값에 따라 Z가 결정된다. ... -Decoder, Encoder, MUX의 구성과 작동 방식을 이해 및 설계한다.나.실험결과1.2-bits 2:1 MUXFig.1.은 2-bits 2:1 MUX의 시뮬레이션 결과이다
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    bits demux에는 하나의 입력 신호, 2개의 selector inputs, 4개의 출력 신호가 있다. ... 반면 1-bit (또는 3, 5, 7…-bit) error가 발생한다면 D0-D7의 1의 개수가 짝수가 될 것이고, receiver의 ODD는 1을 출력할 것이다. ... 이런 식으로 두 BUS 사이의 data 전송을 조작할 수 있다.5)위 그림은 데이터 전송 시 발생할 수 있는 1-bit error를 검출하는 모습을 보여준다. sender와 receiver
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다. ... 실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 이진화 십진법의 각 자리의 수를 왼쪽으로 1bit씩 shift 시킨다.2. 왼쪽으로 shift 되었을 때 값이 5 이상일 면 add3 를 해준다.3. 1번을 반복한다.4.
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 결과 레포트 Combinational Logic 1, 전자전기컴퓨터설계실험2,
    실험결과 두 입력모두 1을 넣었을 때 LED2에서 전원이 들어옴을 확인할 수 있었다.(2) One bit 전가산기1) 1비트 반가산기의 module instantiationmodule ... Signed는 음수를 처리하기 위해 1비트를 부호비트로 사용하므로 실질적으로 수를 저장할 수 있는 비트 수는 7비트이다. ... )4bit_Full_adder4bit_Full_adder test bench4bit_full_adder simulation2) combo box를 통한 동작 결과입력a=0111 b=
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 디지털 논리실험 3주차 예비보고서
    1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오. 2비트의 정보를 입력 값으로 받아 4개의 출력 값을 가지므로 기본 실험 (1)의 회로는 2-bit 복호기이다 ... 디코더는 암호를 사람이 읽을 수 있도록 해독하는 역할을 한다. 1.2 기본 실험 (2)의 회로가 2-bit 부호기인 이유를 설명하시오. 4비트의 정보를 입력으로 받아 2개의 출력 ... 값을 가지므로 기본 실험 (2)의 회 로는 2-bit 부호기이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • [논리회로실험] RAM 결과보고서
    고찰이번 실험에서는 2비트와 16비트의 RAM을 구현하여 기억소자 동작을 확인해보았다.실험 1에서는 NAND GATE로 두 개의 R-S Flip-Flop을 구현하여 동작을 확인했다. ... 실험 2 : 16-bit IC RAM- 74670으로 16-bit RAM을 구현한다.* Write- GWN(Write Enable)을 HIGH로 둔다.- 정보를 A에 저장할 건지, ... 실험 과정 및 실험 결과1) 실험 1 : 2-bit RAM- 7400으로 R-S Flip-Flop 2개를 구성하여 위의 회로를 구현한다.* Write- In0, In1의 입력 값으로
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.31 | 수정일 2023.03.29
  • 컴퓨터 구조론 연습문제 풀이 5장 11,13,14번
    16-비트 CPU에 2MByte RAM, 1MByte ROM이기 때문에 1M X 4비트 RAM칩은 1M X 16비트 칩으로 병렬연결 하는데 4개가 필요하고 2M X 16비트 칩으로 ... 컴퓨터 구조론(교재 연습문제 풀이)5.11) 1K X 8비트 RAM칩들을 이용하여 2K X 16비트 기억장치 모듈을 구성하는 회로를 설계하고, 각 칩에 할당된 주소 영역을 2진수로 ... 직렬연결 하는데 병렬연결된 칩들이 2개로 총 8개가 필요하고 ROM칩은 512K X 8비트 칩은 512K X 16비트 칩으로 병렬연결 하는데 2개가 필요하고 1M X 16비트 칩으로
    시험자료 | 5페이지 | 2,000원 | 등록일 2020.05.18
  • VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    PR과 CLR에 따른 출력 Q와 Q'의 동작을 그림 4-6(c)에 기능표로 나타내었다.다. 8bit-Counter8비트 카운터는 8비트의 정보들로 이루어진 신호가 어떠한 입력에 따라 ... H' 일 때 8비트의 2진수가 현재상태보다 1만큼 작아진 2진수가 된다. ... 상태를 256개 모두 나타내기는 어려우므로 간단한 예인 8비트 동기식 2진 카운터를 보면 입력신호 U='H' 일 때 8비트의 2진수가 현재상태보다 1만큼 커진 2진수가 되고, D='
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림2 : waveform (eup = 1)그림3 : waveform (eup ... 처음 3개의 파형이 eup1이 1일 때이고 이어진 3개의 파형이 edn이 1일 때 파형이다.cout1, bout1 은 간격으로 1이 출력되고, cout2, bout2 은 간격으로 1이 ... 카운팅이 제대로 수행되는 것을 확인했다.이제 두번째 문제인 16 8bit register file을 살펴보자. 16개의 칸에 8bit의 값을 입력 받는다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대