• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,217)
  • 리포트(1,055)
  • 시험자료(84)
  • 방송통신대(50)
  • 자기소개서(20)
  • 논문(5)
  • 서식(2)
  • ppt테마(1)

바로가기

ALU 독후감 - ALU 관련 독후감 1건 제공

"ALU" 검색결과 81-100 / 1,217건

  • [디지털시스템실험(Verilog)] Arithmetic Logical Unit(ALU) 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목ALU - Arithmetic Logical
    리포트 | 6페이지 | 2,000원 | 등록일 2011.10.05
  • n-bit alu, n-bit comparator
    베릴로그 프로그램 소스입니다.
    리포트 | 1,000원 | 등록일 2010.04.19
  • Velilog이용해서 ALU설계.(쿼터스툴에서)
    변형하여 자신의 새로운 ALU로 재설계Learning Objectives Quartus II 설계 툴의 개념 및 사용 방법간단한 ALU 설계를 통해서 Verilog를 이용한 설계 ... 초기 Design Entry 단계에서부터 최종 Technology Mapping 단계까지 직접 수행해 보는 과정입니다.Quartus II 설계 툴의 개념 및 사용 방법의 이해간단한 ALU ... 설계 방법에 대한 이해 및 실습FPGA (Field Programmable Gate Array) 를 이용한 디지털 시스템 설계 방법 및 개념시뮬레이션을 통한 설계 검증 과정주어진 ALU
    리포트 | 17페이지 | 1,500원 | 등록일 2008.04.09
  • 산술논리연산회로(ALU) 실험 예비보고서
    Logic Diagram(2) ALU의 기능에 대해 설명하라.-ALU는 컴퓨터의 중앙처리장치의 일부로서, 컴퓨터 명령어 내에 있는 연산자들에 대해 논리연산을 담당한다. ... ALU의 입력으로는 연산코드를 포함하는 기계명령어, 하나 또는 그 이상의 연산자. 그리고 형식코드로 구성된다. ... 실험 제목-산술논리연산회로(ALU : Arithmetic Logic Unit)2. 예비보고서(1) 전가산기(full adder)에 대해 설명하라.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.15
  • [전기전자]ALU 예비,결과 보고서
    여기서 CPU의 설계사양에 의해 ALU의 기능에 대해 변화가 생긴다. 16개의 논리연산을 수행하도록 설계한다면 ALU는 고속으로 동작하도록 구현할 수 있겠지만, 많은 게이트를 포함하고 ... 물론 이런 일은 ALU의 동작을 여러 번 반복 수행 하므로 연산 속도가 떨어지게 된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.08.08
  • C언어를 통한 32bit-ALU 구현[ MIPS ], Spim Simulator로 실행 및 결과 보고서
    제 2 장 32-bit ALU 개념2. 1. ... Most Significant Bit ( MSB )32-bit ALU는 저번 연구에서 구현했던 1-bit ALU 31개와 MSB로 구성됩니다. ... 저번 연구에서 구현했던 1-bit ALU에 대해서는 언급하지 않겠습니다.
    리포트 | 8페이지 | 3,000원 | 등록일 2013.06.11
  • C언어를 통한 1bit-ALU 구현 MIPS , Spim Simulator로 실행 및 결과 보고서
    제 2 장 연구의 방법▷ C 언어를 통한 1bit-ALU 프로그래밍 - Linux 환경에서 C 언어를 이용하여 1bit-ALU를 구현합니다.▷ Cross Compile ( from ... 구현[ 1bit-ALU의 모양 ]C언어를 통해 1bit-ALU를 구현하기 위해 기본적인 논리회로를 머릿속에 그려보고 실제 처리되는 순서대로 프로그램이 진행되는 것에 초점을 맞추었습니다 ... Spim simulator를 통해 실행 및 결과 분석 - Spim Simulator를 통해 실행하므로써 실제 레지스터의 값의 변화를 분석합니다.제 3 장 C언어를 통한 1Bit-ALU
    리포트 | 5페이지 | 3,000원 | 등록일 2013.06.11
  • MIPS Arithmetic Logic Unit 제작 (VHDL을 이용한 MIPS ALU)
    : 0)- micro_09_sub (1비트 ALU : 1)- micro_09_sub (1비트 ALU : 2)- micro_09_sub (1비트 ALU : 3)...- micro_09 ... _sub (1비트 ALU : 30)- micro_09_31 (1비트 ALU : 31번째)-- 이외의 내용은 책 속의 기본 내용과 동일.◆ VHDL Code-- ::: micro_09 ... Microprocessor 설계MIPS Arithmetic Logic Unit 제작◆ Title : MIPS Arithmetic Logic Unit 제작-- MIPS에 사용되는 ALU
    리포트 | 7페이지 | 1,500원 | 등록일 2008.12.26
  • Booth Algorithm & 32-bit ALU. 32 bit ALU 구조를 C언어로 표현하여 Booth algorithm을 구현
    Booth Algorithm 입니다.32-bit ALU를 구현하여 이 ALU를 사용하여 Booth Algorithm을 실행하게 됩니다.32-bit ALU는 AND, ADD, OR,
    리포트 | 1,000원 | 등록일 2008.06.22
  • verilogHDL로 구현한 8bit ALU
    출력하는 회로의 구성b) 산술연산 +, -, x, >>, 11011A < 11100A[3:0] x B[3:0]*************1-12. verilogHDL 코드module ALU
    리포트 | 4페이지 | 1,000원 | 등록일 2004.11.05
  • [asic] 8bit alu
    ALU를 구성해 보았다. ... : out std_logic_vector (8 downto 0);carry : out bit);end alu;architecture Behavioral of alu isbeginprocess ... 기본적인 이론ALU는 아래표와 같이 INST에 입력되는 값을 디코딩하여 그 값에 따라 여러 가지 연산기능을 수행하는 회로이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2003.03.28
  • 디지털시스템설계_마이크로프로세서_Shifter&Alu의설계
    실험4Shifter&Alu의 설계1. 실험의 목적이번 실험에서는 16비트 Bidirectional Logical Shifter를 구현하고 ALU를 구성한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2008.02.19
  • [전자공학] VHDL으로 ALU설계
    ▲ 이 론- ALU(Arithmetic and Logical Unit)중앙처리장치 가운데 연산을 수행하는 부분을 ALU라고 한다. ... 따라서 ALU와 레지스터 사이에는 빠른 속도로 처리할 수 있지만 ALU와 메모리 사이는 어드레스를 골라야 하는 만큼 많은 시간이 필요하다. ... [그림1-1] 중앙 처리 장치의 내부 구조[그림1-2] ALU에서의 산술 연산 실행(1) ALU의 산술 연산 기능■ 연산의 대상이 되는 숫자-정수, 부동 소수점 수(실수), 2 진화
    리포트 | 5페이지 | 2,000원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • [회로설계]Verilog를 이용한 간단한 ALU 구현
    (a, b, alu_con, carry_in, alu_out, carry_out);//직관적으로 알기쉽게 alu의 컨트롤 인자들은//parameter로 미리 정의한다. ... //alu_out = A;parameter a_out = 0;//alu_out = A + 1;parameter a_add_one = 1;//alu_out = A - 1;parameter ... a_sub_one = 2;//alu_out = A + B;parameter a_add_b = 3;//alu_out = A - B;parameter a_sub_b = 4;//alu_out
    리포트 | 31페이지 | 1,000원 | 등록일 2006.04.23
  • 디지털 논리회로 실험- 연산논리장치(ALU)
    연산코드는 수행해야할 연산이 무엇인지를 ALU에게 알려주며, 연산자는 그 연산을 위해 사용된다. ... 먼저 CPU 의 제어장치는 메모리에서 두 수치를 ALU에 있는 레지스터를 이동시키는 명령을 수행한다. ... .■ 관련이론☆ 산술논리 연산장치약자로 ALU라고 부르며 중앙처리장치의 일부로써 컴퓨터 명령어 내에 있는 연산자들에 대한 연산과 논리동작을 담당한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.30
  • 논리회로 실험 ALU,DECODER,7-SEGMENT 입니다.
    ALU(SN74LS382N) IC의 빈 배치도이다. ... 논리회로 실험 레포트1.SN74LS47N (BCD TO 7-SEGMENT DECODER-DRIVER)2.SN74LS382N (ARITHMETIC LOGIC UNITS)ALU3.7-SEGMENT ... Vcc에 물려있던 핀을 GND에 물리려고 빼면 값은 변하지 않았다.실험자: 이인수(3052096)SN74LS382N (ARITHMETIC LOGIC UNITS)ALU상단의 왼쪽의 그림은
    리포트 | 6페이지 | 2,000원 | 등록일 2007.09.28
  • [컴퓨터 구조]VHDL을 이용한 alu 32bit 설계
    32bit alu로서 각각 a,b에 32비트의 입력 포트가 있고, 원하는 명령을 하기 위해 inst 4bit로 설계하였고, 출력포트에는 y와 carry로 설계하였다. ... ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;-- entityentity ALU ... downto 0); -- 출력포트 y 선언(32 bit) carry: out std_logic); -- 출력포트 carry 선언end ALU
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • Verilog HDL을 이용한 32bit ALU with CLL(Carry Lookahead Logic) 설계하기
    ,alu_op[2:0],c2,r[31:16],x,c31);//16bit ALU 모듈과 16bit ALU(MSB) 모듈verification veri (c2,c31,x,v,set); ... 이와 같이 설계한 32bit ALU의 coding은 다음과 같다.module cla_32bit(a,b,carry,alu_op,r,carry_out,v,z);input [31:0] a ... _0 (a[15:0],b[15:0],carry_i,set,alu_op[2:0],c1,r[15:0]); cla_16bit_msb alu16_1 (a[31:16],b[31:16],c1,0
    리포트 | 16페이지 | 2,500원 | 등록일 2009.11.13
  • [컴퓨터 이론] ALU에 관한 리포트
    (ALU에 관한 보고서)ALU산술논리 연산장치는 약자로 ALU라고 부르며 중앙처리장치의 일부로써 컴퓨터 명령어 내에 있는 연산자들에 대한 연산과 논리동작을 담당한다. ... 연산코드는 수행해야할 연산이 무엇인지를 ALU에게 알려주며, 연산자는 그 연산을 위해 사용된다. ... 먼저 CPU 의 제어장치는 메모리에서 두 수치를 ALU에 있는 레지스터를 이동시키는 명령을 수행한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2003.04.14
  • ALU( Ripple Carry Adder 이용 ),Wallace( 곱셈기 ),베릴로그,쿼터스, 소스
    ALU( Ripple Carry Adder 이용 ),Wallace( 곱셈기 ),베릴로그,쿼터스, 소스결과레포트만 있습니다.베릴로그로 짠 소스있습니다.
    리포트 | 1,500원 | 등록일 2008.11.27
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:51 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대