• 통큰쿠폰이벤트-통합
  • 통합검색(218)
  • 리포트(214)
  • 논문(3)
  • 시험자료(1)

"BCD-7 segment 디코더" 검색결과 81-100 / 218건

  • 논리회로실험 결과 8
    따라서 BCD 카운터는 동일하게 이용하였으며, BCD코드의 출력을 7-segment 디코더를 통해 7-segment 표시기의 각 위치에 해당하는 a, b, c, d, ent 디코더( ... 74HC47)는 BCD 코드를 7-segment의 각 위치에 맞는 값으로 출력시킨다. ... 또한 계속해서 순환하는 것을 확인할 수 있었다.③-2. 7-segment로 출력한 BCD 카운터실험③-2는 실험③-1을 통해 나온 BCD코드 DCBA의 각 출력 bit를 LED로 표시하는
    리포트 | 11페이지 | 2,000원 | 등록일 2016.09.24 | 수정일 2020.11.07
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 결과
    segment LED를 구동하는 실험이었다. ... segment LED를 구동하는 실험이었다. ... 네 번째 이유로는 7-Segment LED 장치의 자체적인 문제로 볼 수 있는데, 이번 실험에서 교체했던 7-Segment LED 장치만 해도 총 8개를 사용했었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털회로 실험 결과 보고서(디코더, 인코더)
    -to -7 segment 디코더란? ... (위의 LED에는 불이 켜지지 않는다.)실험 10-5 BCD-to-7 segment decoder를 이용한 FND 구동회로BCD-to-7 segment decoder를 이용한 FND ... - 입력된 BCD 코드를 디코딩 하여 7-세크먼트 디스플레이 소자(7-segment display device)를 구동시키는 출력을 내보냄으로써 입력에 해당하는 10진 숫자가 표시
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.20
  • <논리회로실험>수체계
    /10진 디코더, 7-segment display, 4조 DIP 스위치, 저항 330Ω 11개, 저항 1.0kΩ 1개, 직류 전원 공급 장치 등그림 2. ... 것에 주의하여라.그림 7전원을 인가하기 전에 디코더의 각 출력과 7-segment 입력 사이에 330Ω 전류 제한 저항을 연결하였는지 점검하여라. 1.0kΩ 저항을 통하여 Lamp ... 스위치 테스트전원을 제거하고 그림 7의 회로를 구성하여라. 7-segment display의 핀 번호는 그림 1에 나타나 있다. 7447A는 16핀이고 7-segment는 10핀인
    리포트 | 4페이지 | 1,500원 | 등록일 2015.12.14
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의 ... 커패시터 양단의 전압은 threads hold로 사용된다.지연 시간 W =1.1 *RC 식으로서 구할수 있다.(5)74ls47 7segment-74ls47의 진리표BCD코드 4자리를 ... 회로7. 결과1.
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 디지털실험및설계 예비6(복호기 및 부호기)
    마찬가지 방법으로 나머지 핀에 대해서도 논리 식을 찾아서 논리회로를 구현한 것이 BCD-to-7 segment 복호기이다. 그림4)는 BCD-to-7 segment 회로이다. ... ) 진리표BA DC00011110000010010010111100101100그림 3.2) B의 카르노맵(3) BCD-to-7 segment십진 카운터 7490, BCD-to-7 segment ... 그런데 이 출력 값을 바로 7 segment에 달아서는 사용을 할 수가 없으니 이때 추가로 달아주는 것이 BCD-to-7 segment 복호기 7447이다. 7447의 기본적인 동작원리를
    리포트 | 10페이지 | 1,500원 | 등록일 2015.12.05
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    BCD to 7 segment 회로도3. ... BCD to 7 segment 진리표각 디코더의 출력(7 segement의 입력단자 a~g)에 대해 Karnaugh map을 이용한 간단화 과정을 거친 후 작성된 디코더의 회로는 ... BCD 입력에 의해서 표시장치를 동작시키기도 한다. 7 segment 표시장치(4) BCD to 7 segment Decoder4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 디지털공학실습 : 디지털 시계 제작
    이를 7-segment를 이용하여 10의자리 숫자로 출력하였다.2. 전체 회로도 및 완성 사진3. ... 그 다음 카운터에서 나온 신호를 BCD-to-7세그먼트디코더(7447)을 이용하여 출력하였다. ... (BCD-to-7 세그먼트 디코더란 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 해당 숫자 (0~9)가 표시되도록 7 세그먼트의 입력 단자 a, b, ... ,
    리포트 | 5페이지 | 1,000원 | 등록일 2015.11.25
  • 디지털 시스템 실험 기본적인Combinational Circuit(Decoder, Binary-to-BCD Convertor, BCD-to-7 segment Decoder) 결과보고서
    설계, BCD-to-7 segment Decoder 설계)실험목표2-to-4, 3-to-8 라인 디코더를 설계한다.Binary-to-BCD Convertor 설계한다.BCD 입력을 ... 7 segment로 출력하는 decoder를 설계한다.실험결과토의2to4 decoder 는 입력이 2개 출력이 4개이므로, 각각에 해당하는 변수를 정의한다. decoder에서 result1 ... 디지털 시스템 설계 및 실험 결과 보고서작성자:학번:실험조:실험일:실험제목기본적인Combinational Circuit(Decoder 설계, Binary-to-BCD Convertor
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • 부경대 디지털회로실험 텀프로젝트(5진 동기식 업 카운터)
    입력들0000000[표1-2]BCD-to-7 세그먼트 디코더에 대한 진리표1.3 FNDFND는 7-segment라고도 불리며 숫자를 표시하기 위해 사용된다. anode방식과 cathode방식이 ... BCD-to-7 세그먼트 디코더BCD 수에 대해 4개의 입력이 있고, 세그먼트를 선택하기 위한 7개의 출력이 있다. ... BCD-to-7 세그먼트 디코더BCD에서 10진수를 받아들이고 그 숫자를 나타내는 세그먼트를 선택하여 적당한 출력을 발생하는 조합회로이다.
    시험자료 | 5페이지 | 1,500원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 인코더 디코더와 7 segment display
    표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 인코더와 디코더인코더와 디코더는 2n개의 입출력단자와 n개의 입출력단자로 구성되는데 BCD 인코더와 디코더는 십진수를 이진수로 변환하는 기능을 수행한다.- 7-Segment Display7세그먼트 ... , 7432, 74147외 자신이 선택한 소자- SN7442 Decoder IC와 7447 BCD-to-7 Segment Decoder IC- 7-Segment Display4.
    리포트 | 12페이지 | 1,000원 | 등록일 2016.03.11
  • 인코더와 디코더 회로 - 결과
    .(3) Seven-segment(숫자표시기)의 사용방법을 익힌다.- 실험의 개요 -입력 받은 10진수의 수치를 2진수의 출력으로 바꿔주는 디코더와 2 ^{n}개의 입력을 받아서 n개의 ... 이후 BCD코드에 의한 입력에 따라 7-Segment에서의 출력형태에 따라 숫자가 나타남을 확인 할 수 있었다. ... 또한 7-Segment(숫자표시기)를 이용하여 입력에 따라 출력되는 숫자의 형태를 확인하고 위의 디코더, 인코더, 7-Segment의 진리표를 확인한다.- 실험 회로 -2개의 입력을
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 논리회로실험. 실험 5. Decoder & Encoder
    BCD to 7 segment 디코더- BCD 코드를 다시 기존체계의 10진수 코드로 변환하고 이를 7 segment LED를 통해 그 10진수를 표시한다. ... _{0},G _{S} ,E _{0} 이다.- 좌측 입력값의 순서는 맨 밑에서부터 7, 위로 가면서 0 맨위가E _{1}(흰색)이다.2. ... 즉 0부터 7로 진행해갈수록 더 높은 우선권을 가진 출력에 코드뿐만 아니라G _{S},E _{0}가 추가되어 있다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.12.21 | 수정일 2016.06.02
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    이 처럼 회로구성이 다소 복잡했지만 한번에 회로가 정상작동해서 빠른 실험진행이 되었다.실험 5. 7 segment 표시기를 갖는 BCD 카운터7 segment 표시기를 갖는 BCD ... 결국 우리는 7 segment 표시기를 갖는 BCD 카운터가 정상적으로 작동함을 확인할 수 있었다.● 토의 및 고찰이번 실험은 디코더와 인코더 실험으로 카운터를 이용해 디코딩(decoding ... 입력을 10진수로 고치고 그것을 7-segment 코드로 변환하는 역할을 하고 7 segment LED에 우리가 실생활에서 사용하는 아라비아숫자가 점등하는 회로를 구성하고 동작결과를
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Converter, BCD-to-7segment를 설계한다.실험결과1 ... BCD-to-7segment code와 test bench를 설계하였다.8. BCD-to-7segment code를 modelsim에서 실행하여 확인하였다.8. ... BCD-to-7segment code를 FPGA보드에 연결하여 BCD를 변화시켜 7segment에 원하는 변화가 생기는지 체크하였다.토의이번 실험은 2-to-4 decoder, 3-
    리포트 | 7페이지 | 1,500원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • 냉방,난방시스템 디지털회로설계
    동기형 카운터74LS42- BCD to Decimal Decoder로 10진수를 표현하는 네자리 2진수-BCD(0000~1001)에해당하는 신호를 출력74LS47- 7-segment를 ... 제어하기 위한 decoderbcd 값을 입력하면 그에 맞는 값을 7-segment에 출력7-segment- 숫자와 약간의 영문자를 디스플레이할 수 있고 디지털 시스템의 출력장치로 ... 연구내용2.1 개념도2.2 설계 주요내용① NE555타이머를 이용해서 클럭을 발생시켜서 업/다운 카운터를 실행시킨다.② 7-segment에 연결해서 값을 출력 되게한다.③ 디코더
    리포트 | 5페이지 | 3,000원 | 등록일 2014.12.21
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    7-segment 표시기를 갖는 BCD Counter7-segment 표시기를 갖는 BCD Counter(7490)7-segment 표시기를 갖는 BCD Counter(7447)2) ... 준다면 7490이 카운팅 하다가 정지했던 시간을 7segment에서 표시 해줄 것이다.※ 회로에서 Decoder의 역할: 디코더를 통해 첫 번째 7segment의 Vcc를 출력할 ... 작동을 하고, 그 순간 일시 정지한 숫자가 7-segment에 표시된다.③ lap 기능 : 시간이 경과되는 중에 정지된 시간이 7-segment에 표시되는 상태를 유지하고, 내부적으로는
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 논리회로실험 12주차 예비보고서
    목적- 7-segment LED decoder의 기능과 특성을 이해한다.- 10진수 counter의 기능과 특성을 이해한다.- 10진수 counter를 사용하여 7-segment LED ... 'BCD to 7-segment diode'는 BCD에서 십진수의 숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. ... BCD-7 세그먼트 디코더BCD숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있고 디코더의 7개 출력(a, b, c, d, e, f, g)은 다음과
    리포트 | 4페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 복호기,부호기 관련 실험 예비보고서
    -7-segment 디코더BCD로 된 10진수를 입력하여 일곱 개의 출력을 내보내는 회로로 일반적으로 10진수를 디스플레이 하기 위해 사용된다. ... (←BCD-7-Segment 위치 ,↑BCD-7-Segment 진리표)2. ... 우선순위 엔코더들은 종종 작동되는 더 높은 우선순위의 신호로부터 방해신호를 제어하는데 사용된다.(4 to 2 부호기 회로)(부호기와 복호기의 결합 회로)(3) BCD-to-7-segmentBCD-to
    리포트 | 3페이지 | 1,000원 | 등록일 2014.05.28
  • [A+ 예비보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    segment LED(1개)저항 : 330Ω (7개)4. ... - BCD코드에 3(0011)을 더하여 구할 수 있으며, BCD코드보다 3만큼 크기때문에 Excess-3 코드라고 불림.- Excess-3 코드는 각 bit를 반전하면 쉽게 9의 보수를 ... 실험이론●디코딩- 일반적으로 디코더는 n-bit의 코드 입력과 2n의 코드 출력을 가지는 소자로서 하나의 코드 입력과 하나의 코드 출력을 1대1로 대응시키는 기능을 가진다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:44 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대