• 통큰쿠폰이벤트-통합
  • 통합검색(218)
  • 리포트(214)
  • 논문(3)
  • 시험자료(1)

"BCD-7 segment 디코더" 검색결과 141-160 / 218건

  • 7-segment를 이용한 게임만들기 계획서
    - 117 – Segment의 구조 (2/2)BCD-to-7segment 디코더4비트로 구성된 BCD 값을 입력하여 받아들여 7segment 표시기에 사용되는 a, b, c, d, ... e, f, g 신호를 만들어내는 조합회로BCD-to-7segment 디코더 기능을 수행하는 TTLㆍ에노드 공통형 : 7446, 7447 칩 ㆍ캐소드 공통형 : 7448, 7449 칩구현사양 ... type7 – Segment의 구조 (1/2)4 – 11구현사양(1/2)BCD-to-7세그먼트디코더7세그먼트 표시기DCBAabcdefgabcdefg저항330ΩBCD입력(MSB)5
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.29
  • 실험7결과[1].Decoder&Encoder
    갖는 BCD 카운터회로 SEQ 회로 \* ARABIC 5. 7-segment 표시기를 갖는 BCD 카운터그림 SEQ 그림 \* ARABIC 6. ... 7-segment를 직접 연결하는데는 문제가 있었다. ... 출력 파형- 7490IC에 의해 클럭에 따라 2진수가 만들어지고, 만들어진 2진수를 7-segment에 표시하기 위한 7447IC를 연결한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.27
  • VHDL을 이용한 디지털시계설계
    entity bcd2seg_hwang isport (bcd : in integer range 9 downto 0; -- 한자리 10진수 입력se ... 설계- 7-세그먼트를 사용하여 숫자를 표시- 분이나 초를 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)을 이용하여 값을 표시.- 시간은 12시간을 ... 세그먼트를 이용1) 디지털시계의 구성요소◇ 클럭(clock) : 1kHz의 주파수◇ 버튼 : 모드 선택버튼(1개), 설정 버튼(2개)◇ 7_segment : 시간표시, 스톱워치 시간
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 7세그먼트에 관한 보고서
    7-segment1. 7세그먼트 LED의 구조 및 종류7개의 LED 세그먼트로 구성되며, 소수점을 표현하는 dp. ... 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용 됨으로 7세그먼트 표시기라고 이름이 붙여졌 다. ... -7-세그먼트 디코더/구동기4비트 BCD입력에 대한 출력에 적당한 세그먼트에 전류를 통하게 함으로써 10진수를 표시하기 위한 출력으로 구성되어 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2011.06.24
  • 10진 카운터
    -충전 시간: T1 = 0.693 (R1 + R2) C1 [sec]-방전 시간: T2 = 0.693 (R2 · C1) [sec]-주기: T = T1 + T2 = 0.693 (R1 + ... 이에 따라 양극 공통형 FND507(seven segment display)은 스위치를 한번 누를 때 마다 0부터9까지의 숫자를 순서대로 출력하였다. ... 주어진 회로는 NE555로 이루어진 비안정 M/V 회로의 펄스 발생에 의해, SN7490의 BCD 10진 카운터에서 10진 카운터 된 출력을 SN7447의 2진 세그먼트 디코더 드라이버
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • 10진 카운터를 이용한 100진 카운터 결과보고서
    to 7-segment 디코더의 진리표3.6 비안정 멀티 바이브레이터ON, OFF 교호 발진을 반복하도록 한 발진기. ... 비안정 멀티바이브레이터 회로 -설계 회로ORCAD7segment, 330Ω저항, 74LS47, 74LS90소자를 이용하여 제작한 100진 카운터 회로이다.100진 카운터 회로를 ORCAD를 ... 관련이론3.1 74LS47 - BCD to 7-Segment Decode이 소자는 BCD to 7-Segment decoder로서 74LS90으로부터 10진수에 해당되는 BCD코드를
    리포트 | 10페이지 | 3,000원 | 등록일 2013.07.01
  • DECODER, ENCODER
    11일 때 순차적으로 증가하는 이진수를 표시하는 디코더▶ Describe its functional behaviors4비트 BCD코드가 입력되면 7-segment 형식으로 변환하여 ... 이때 변환 형식은 위의 표에서 나타난 바와 같으며 7-segment의 각 자리는 7-segment에 불이 들어오는 각각의 부분을 나타낸다. ... " FPGA 에디션 2.0'NVIDIA8800GT와 연동되어사용되고 있는 Xilinx-ML555실험목적BCD코드로 입력된 값을 7-segment로 표시할 수 있도록 디코딩 한다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • 결과07_Decoder&Encoder
    이런 회로의 구성을 통해 마치 회로가 Excess-3의 체계를 갖는 것처럼 동작하는 것이다.5) 7 segment 표시기를 갖는 BCD 카운터주파수 발생기를 1Hz 정도로 하고 표시기를 ... BCD 카운터는 이를 LED를 이용해 열 개의 상태를 보여주었고, 7 segment는 가시화된 정보로 표현해준 것이다. ... BCD 카운터를 통해 우리가 평소에 사용하는 십진수 모두를 표현할 수 있었고 이 10개의 출력을 시각적 디스플레이하기 위한 것이 7 segment라고 할 수 있다.조교님께서 지난번에
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.10
  • 디코더&인코더 에 관한 논리회로 실험 결과 보고서
    Decimal 0~5까지 반복해보니 (표-2)와 같은 결과 값이 나왔는데, 이는 예상한 이론치와 일치 했다는 것을 확인 할 수 있었다.(2) 7segment 표시기를 갖는 BCD카운터 ... ⇒이 회로는 7490과 7447을 이용하여 구성한 회로이다.7490은 BCD 2진 코드로 나타내는데 이 코드를 7segment 코드로 변환하기 위해서는 7447이 필요하다. ... 이 7447은 7490 2진 코드를 십진수로 고치고 그것은 7segment 코드로 변환하는 기능을 맡고 있으며, 여기서 중요한 것은 7447은 active-low, open-collector
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.30
  • 자판기시스템(최종본)
    flop 74175 10 IC 2-input AND 74LS08 10 IC 2-input OR 74LS32 10 IC NOT 74LS04 4 IC 7-segment 디코더 74 LS47 ... 투입된 금액이 7-segment 에 표시 표시된다 . 투입금액이 제품 가격 이상이면 구매가능표시 LED 에 불이 들어온다 . ... 4 IC 7-SEGMENT LED FND(COMMON ANODE) 4 푸쉬 버튼스위치 4 저항 330 옴 40 기판 2 케이스 1Thank You Have a nice day !!
    리포트 | 12페이지 | 2,000원 | 등록일 2011.11.13
  • 인코더와 디코더 실습 보고서
    실험제목- 인코더와 디코더2. 실험목적- AB진리표에 대한 F0, F1, F2, F3 값을 확인하고, 7 segment를 연결하여 구동을 확인한다.3. ... 실험장비 및 부품- 100Ω 저항 7개, 다이오드 4개, 7 segment. ... Y₁Y₂Y₃0010000+50100+500010+5+500012) 7 segment를 이용한 회로도A=0,B=0,C=0,D=0A=+5B=+5A=+5, B=+5C=+5A=+5, C=+
    리포트 | 9페이지 | 1,000원 | 등록일 2011.10.30
  • [Lab#4]7-Segment LED Display 실습
    펄스를 순선대로 입력시킬 때 7-segment LED display의 출력 값을 실습으로 확인하시오.펄스(BCD) 입력비 고7-segment display0출력 없음28539- 7447 ... .#4]74LS147 10-line to 4-line Encoder, 7-Segment LED Display, 74LS47 BCD to 7-segment LED Display Decoder-driver ... Driver IC: 74LS48 BCD to 7-segment LED decoder IC▷Segment 명칭 부여:?
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 디지털 시계 제작(디지털공학 실습 과제)
    필요 부품 리스트7 segment -애노드형(common anode)4개IC 7447, 74854개IC 74906게IC 7420, 7421 74방향일 때는 흐르고 역방향일 때는 흐르지 ... 설계하는 디코더의 기능은 2진수를 입력받아 BCD로 변환하여 출력하는 디코더이며, 설계할 디코더는 12진 카운터의 값을 입력으로 받아 직접 7세그먼트 표시기를 구동할 수 있는 출력을 ... 사용할 디스플레이는 7 segment의 애노드형이므로 Input low로 동작하기 때문에 IC 7447을 이용하며, 초를 표현하기 위해서 원래의 요구조건에 LED의 깜박임을 이용하므로
    리포트 | 12페이지 | 2,500원 | 등록일 2013.01.18
  • (전실결과) Digital Circuit 2(엔코더측정회로)를 통한 모터측정
    위에 7-segment BCD디코더가 있는데 이것을 3번 반복하면 1의자리 10의자리 100의자리를 표현할 수 있다. ... 일단 전에 만들어 놓은 7-segment decoder를 복사 붙여넣기를 하고 DAQ 출력으로 해 놓았다. ... 별 차이는 없지만, 사실 LED를 달아 놓는 것이 스위치가 작동하는 것을 명확하게 확인할 수 있기는 하다.실험 6 : Labview (디코더 & FND & Display)(구동영상
    리포트 | 9페이지 | 2,000원 | 등록일 2014.04.20
  • [디지털 결과]디코더 와 인코더
    실험목적1) 디코더와 인코더의 동작원리와 특성을 확인하고 부호 변화기의 동작을 알아본다.2) 디코더와 인코더의 구성방법을 익혀 코드를 만들 수 있는 능력을 키우고 7-segment의원리와 ... 디코더 실험을 통해 A, B를 이용한 BCD 코드를 4개의 부호가 없는 출력 값을 출력되는 것을 살펴볼 수 있었고 인코더 실험을 통해서는 4개의 입력 값이 BCD 코드로 어떠한 값이 ... 이번 실험에 있어서는 마지막 7-Segment의 실험을 수행하는데 있어 클럭을 주는 것에서 많은 어려움이 있었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.11.12
  • 73진 엔진 카운터
    to 7-segment 디코더의 진리표10진수입력 (BCD code)출력DCBAabcdefg*************000101100002001011011013001111110014010001100115010110110116011000111117011111100008100011111119100111100114 ... 관련이론3.1 74LS47 - BCD to 7-Segment Decode이 소자는 BCD to 7-Segment decoder로서 74LS90으로부터 10진수에 해당되는 BCD코드를 ... 사용 소자 사양4.1 74LS47 - BCD to 7-Segment Decoder (2개)4.2 74LS90 - Decade and Binary Counters (2개)4.3 74LS08
    리포트 | 6페이지 | 3,500원 | 등록일 2012.10.30
  • 아주대논리회로실험 5장.디코더인코더 예비(기본구성+빵판+예상결과)
    segment LED(1개)(6) 저항 : 330Ω (7개)4. ... 2에 B를 입력한 NAND gate 7420의 핀 6번 출력파형3) 10진 디코더를 갖춘 BCD 카운터회로그림10진 디코더를 갖춘 BCD 카운터 이므로 각각의 핀은 배정된 숫자가 ... 예로서 그림 1에 나타난 2단 2진 카운터(2-stage binary counter)는 4진 카운터이며 4개의 카운트 상태를 갖는다.
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.21
  • Encoder, Decoder, Mux 예비레포트
    Dip S/W 0~9 를 입력함에 따라 7-segment LED에 해당숫자가 표시될 수 있는 회로를 제작하여 검증하라.위 회로는 DipS/W 0~9를 입력함에 따라 7-segment ... BCD 코드를 7-segment 숫자표시기로 나타내는 등 여러가지 경우가 있다.※ 3 by 8 Decoder총 3개의 입력과 그것에 대응하는 8개의 출력변수를 갖는다.InputsOutputsA2A1A0D7D6D5D4D3D2D1D00000 ... *************00010010000001000110000100010000010000101001000001100100000011110000000※ BCD-to-7 Segment
    리포트 | 14페이지 | 1,000원 | 등록일 2010.10.16
  • BCD 7-Segment Decoder 설계제안서
    명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7-Segment 소자라고 이름이 붙여졌다.7-Segment는 2가지의 Type( ... 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • 인코더 디코더 실험 결과보고서
    실험 2번의 경우 실험 1번에서 구현해 놓은 인코더의 출력값 A, B를 BCD/7-segment 디코더/드라이버 (74LS47)의 입력에 연결해준 뒤 BCD/7-segment 디코더 ... 실험 목표우선순위 인코더 작동법을 알아보고, 인코더의 출력을 받아 BCD/7-segment 디코더/드라이버 (74LS47)의 입력에 연결해 그 결과를 보고 디코더 작동법도 이해한다.2 ... 출력 전압을 측정하여 기록하라.2) 앞 1번에서 구성한 회로의 출력을 BCD/7-segment 디코더/드라이버 (74LS47)의 입력에 연결하라.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:45 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대