• 통큰쿠폰이벤트-통합
  • 통합검색(146)
  • 리포트(143)
  • 시험자료(3)

"FND세그먼트" 검색결과 81-100 / 146건

  • 7세그먼트 레포트
    삽입한다.구분품명규격수량측정기 및 도구직류 안정화 전원장치DC 5V,2A1대브래드 보드WBU206/2081개실험 재료IC74LS471개IC74LS481개TR2SC19597개LED 표시기FND8072개램프DC ... 7-세그먼트 LED 디코더와 표시회로실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다.실험이론1) 디코더 원리7-세그먼트 표시기라고 하는 소자는 ... 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2) 7세그먼트 LED 디코더그림에서 입력단에 BCD
    리포트 | 5페이지 | 1,000원 | 등록일 2013.03.26
  • 전자회로실험설계-선물상자
    개발 비용 초안품 명수량(개)단가(원)합계(원)만능기판HSP-1610동판1800800FND1036AGG-1036A세븐 세그먼트2700140074LS90DM74LS90N10진카운터129029074LS47 ... 목표대비 완성도완성도조사80%보고서100%적외선 센서90%LED90%세그먼트85%멜로디87%최종 완성88.67%3. 개발 비용에 대한 분석표 2. ... 그리고 세그먼트를 이용하여 선물상자를 열어본 횟수를 9번까지 카운터 하게 된다. 적외선센서를 사용하여 동작 뚜껑의 열림과 닫힘에 따라 동작을 하게 된다.
    리포트 | 5페이지 | 3,000원 | 등록일 2013.11.01
  • Decoder, encoder와 multuplexer, demultiplexer 결과 report
    .- 실험 시 BreadBoard 위에 11.3의 회로 7447과 FND507를 구성하였다. ... 진리표의 모습을 확인하기 위하여 출력에 LED를 설치하고, 전원을 주어 측정하였다. 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 세븐세그먼트에서의 오차는 텀 프로젝트에서 쓰일 것이라 좀 더 관심 있게 실험을 하였는데, 저항을 7개를 연결하였는데, 서로 접지가 되다보니 오차가 발생하여 제대로 표시가 되지 않았었다
    리포트 | 9페이지 | 2,000원 | 등록일 2015.11.01
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    7-segment (FND 507) 1개? Breadboard? 저항 330[Ω]×7, 680[Ω]×7? ... 각각의 세그먼트는 전류가 흐를 때 빛을 방출하는 소자로 구성되어 있는데, LED가 가장 일반적으로 사용되는 소자이다.- 7-세그먼트 -3) BCD 7-세그먼트 디코더/드라이버BCD( ... 디스플레이(1) 그림 6-6과 같이 회로를 구성하고, 7490의 2번과 7-세그먼트의 밝기 를 비교하여라.(4) 전형적인 7-세그먼트 LED 디스플레이의 각 세그먼트는I _{D}
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 커피 자판기 Term-Project
    (7-segment)FND(Flexible Numeric Display)를 7-세그먼트라고도 하는데 주로 숫자를 표시하는데 사용된다. ... 그리고 FND는 8개의 LED로 이루어져 있고 애노드 공통(Common Anode) 방식과 캐소드 공통(Common Cathode) 방식이 있다. ... 예를 들어 숫자 ‘1’을 표시하고자 한다면 LED b와 c를 On하고 나머지는 모두 Off 해야 한다.[ 그림 9 ] FND 애노드형과 캐소드형5) 카운터① 비동기식 카운터비동기식
    리포트 | 17페이지 | 2,000원 | 등록일 2013.12.03
  • [기초회로실험]실험12.디코더, 엔코더 및 멀티플렉서(결과보고서)
    FND와 7447A IC의 동작을 설명해보면 다음과 같다.FND는 LED(발광다이오드)에 전극을 가해 불이 들어오도록 만드는 부품으로 7세그먼트표시기라고 한다. 7세그먼트 표시기는 ... 7세그먼트표시기)에 나타남을 확인하는 것이었다. ... 또, 각각의 경우에 대하여 FND의 점등 상태를 확인하라.실험결과 :고찰 :이 실험은 7447A IC와 FND를 접합시켜 2진수 입력을 넣으면 이에 대응하는 10진수 숫자가 FND(
    리포트 | 6페이지 | 1,000원 | 등록일 2011.11.18
  • 실험4 프로젝트 보고서
    .◈ 그림3ⅱ. 74LS474비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... , g 신호를 만들어내는 조합회로이다. 2진수를 10진수로 변환해 ... (t, v, u); //fnd_display함수를 이용하여 counter값 출력}}void pulse_counter() { // 펄스 업/다운 카운터* P3.2 = Pulse Counter ... task % 100; //1000의자리, 100의 자리를 v에 저장task = task /100;u = task % 100; //100000의자리, 10000의 자리를 u에 저장fnd_display
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • 전자회로실험및설계 : 8051 MCU를 이용한 7-segment (7세그먼트) 제어
    7-segment 결과보고서7-segment는 숫자 모양으로 LED를 배치시킨 소자이다. LED는 단자가 애노드와 캐소드라는 두 단자를 가지고, 7-segment는 dot를 포함하여 LED가 총 8개 있기 때문에 7-segment를 제어하려면 16개의 핀이 필요하다. ..
    리포트 | 9페이지 | 1,000원 | 등록일 2011.12.28
  • 타이머 만들기 실험 보고서입니다.
    TTL로 구성된 로직회로는 기본적으로 5V의 전압으로 동작하 기 위한 입력전압을 5V로 바꾸어주는 정전압 레귤레이터 IC이다.1N4007 다이오드FND 컴먼 애노드 7세그먼트 FND라고 ... 이름 설명AT89C51 8051 CPU로 안에 플래시 메모리가 내장되어있고 이 메모리에 시계 구동에 필요한 프로그램이 저장되어 있다.SN74LS47 FND를 구동하는 IC이다.MC7805 ... 12Mhz 크리스탈(수정발진자)BUZ 신호를 받아 알람시 벨이 울린다.트랜지스터 2SA1268 base가 1일 때 버즈가 울리게 해준다.트랜지스터 2SC3198 base가 0일 때 FND1이
    리포트 | 9페이지 | 1,500원 | 등록일 2013.12.09
  • 원앤원게임기
    드라이버 저항 : 1㏀ , 10㏀ 50㏀ 2㏀ 등 커패시터 : 10㎌ 100 ㎌ 등 트렌지스터 : C945P구성도 랜덤 숫자발생기 발진회로 + 세븐 - 세그먼트 카운터 스위치로 발진회로 ... 4518B 4bit BCD 카운터 : 클럭 수 카운트하여 출력 FND 드라이버 : 2 진 입력값을 카운터로 전달 클럭수를 카운트하여 ABCD 로 2 진수를 출력하면 FND 드라이버가 ... 회로에 적용시켜 본다 숫자를 랜덤으로 발생시켜 7 Segment 를 이용하여 출력해본다재료 IC 소자 : 4518 , 4543 - 4518 → 4bit BCD 카운터 - 4543 → FND
    리포트 | 12페이지 | 1,500원 | 등록일 2011.06.11
  • Decoder, encoder와 multuplexer, demultiplexer 예비 report
    반도체 : 74LS42, 74LS47, 74LS139, 74LS148, 74LS151, FND507, 3mmΦ 적색 투명 LED(4개)4. ... bar{D} +A bar{B} bar{D}#g= bar{A} C bar{D} + bar{A} bar{B} C+ bar{A} B bar{C} +A bar{B} bar{C}.BCD-7 세그먼트 ... 0101100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011BCD-7 세그먼트
    리포트 | 13페이지 | 2,000원 | 등록일 2015.11.01
  • led , 7-segment
    랩뷰 이용한 led on되는 시간 측정7-segment세그먼트 방식의 숫자 표시 소자로서 최대 7개의 세그먼트로 숫자를 표시하는 방식. 7개 모두 통전하면 8의 숫자가 된다7-segment는 ... FND라고도 부르며 FND는 여러개의 LED를 조합하여 만든 LED모듈이라고 생각하면된다 그 LED를 조합함에 있어서 공통단자인 common단자를 anode( + )를 썼냐 cathode ... ( - )를 썼냐에따라 다음의 두가지 형태로 나뉜다.FND의 정식명칭은 7-segment LED, 7-segment display등이 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.09.26
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    하나의 4-10-8 FND 코드변환기☞ 7 세그먼트 표시장치 FND의 내부애노드 공통(C-A) 캐소드 공통(C-C)☞ 우리가 원하는 것은 a, b, c, d, e, f, g 세그먼트가 ... a > < FND d >☞ a와 d세그먼트에 대해서 입력조건,다른 세그먼트에 대해서도 같이 구할 수가 있다5. ... Discussion두 번째 실험은 인코더인 74LS147과 세그먼트를 작동시키는 전용 IC인 74LS47를 이용하여 딥스위치에 입력한값을 세그먼트에 숫자를 표현하는 실험이였다.
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • AVR I/O Control - 7 Segment Control
    나타낼 숫자를 0부터 9까지 증가{PORTC = 0x80; //DATA 제어PORTA = FND_SEG[i]; //출력되는 세그먼트Delay_ms(500);//확인을 위한 딜레이 ... 초기설정{DDRA = 0xFF;//PORTA를 출력DDRC = 0xC0;//7-segment 컨트롤PORTC = 0x40;//LED_CTRL을 제어PORTA = 0x01;//첫번째 세그먼트 ... 함수{init_system();//포트를 초기화 함int i;//7-segment에 들어갈 숫자 선언while(1)//무한 루프{for(i = 0 ; i < 10;i++) // 세그먼트
    리포트 | 19페이지 | 2,000원 | 등록일 2010.10.16
  • 마이크로 과제1
    7-segment- 7개의 세그먼트를 이용해서 숫자를 표시하는 장치? 도선브레드 보드위의 부품들을 연결한다(푸시 스위치, 토글스위치, 저항 등)? ... +;//a에 +1씩 점차적으로 증가}//fnd2[0], fnd2[1], fnd2[2] 차례로//출력하다가 fnd[6] 출력하고//a가 7이 되면 -1이 돼서 a++에서//다시 0으로 ... [b];}else{PORTC = fnd[b];}}else if((PINB&0x07)==0x03){if(b>=5){b = 0;PORTC = fnd[b];}else{PORTC = fnd
    리포트 | 13페이지 | 1,000원 | 등록일 2012.04.01
  • BCD to EX-3 가감산기 설계 보고서
    주어 작동하게 만들게 합니다.캐리표현과 음수표현에는 FND500일의 자리 표현에는 FND507을 사용하였고 세그먼트에 과전류 과전압 방지를 위해각 단자에 330Ω 저항을 연결하였습니다.캐리표현과 ... 세그먼트를 이용하기 위해선7447 세그먼트 디코더를 사용하여 출력을 합니다.BCD로 변환된 입력 값을 받아 2개의 세그먼트를이용하여 감산결과, 가산결과를 둘 다디스플레이합니다회로도 ... 음수표현을 위해서 캐리값에 대해 회로를 구성하여 캐리가 발생하면FND507에 B,C가 작동하고 음수가 발생하면 G가 작동하게 됩니다.4.전체 회로도5.부품 배치도6.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.05.25
  • 99진 카운터 및 폭탄.
    따라서 세그먼트와 후면 사이의 전압도 역시 구형파가 되며 , 이러한 전압 차에 의해 세그먼트가 ON 된다 .회로도회로도 ( 타이머부 )회로도 ( 폭탄부 )동작방 법 1 자리에 해당하는 ... 카운터의 Clock 에 스위치 입력이 들어가면 입력된 Clock 에 해당하는 수의 A,B,C D 출력이 나오고 이 출력을 74LS47 에 넣어 FND 를 구동합니다 . ... FND 의 표시가 9 에서 0 으로 넘어갈 때 Carry 신호가 발생되므로 이 신호를 상위 (10 단위 ) 의 카운터 Clock 에 연결하면 10 단위 수가 구성되는 것 입니다 .
    리포트 | 15페이지 | 1,000원 | 등록일 2010.06.18
  • 디지털 논리회로 실험 텀프로젝트(디지털 주사위-아주 간단한 카운터회로 이용)
    카운터에서 출력된 1에서 6까지의 숫자에 해당되는 2진 데이터는 세그먼트 드라이버에 의해 7-세그먼트 코드로 변환된다. ... LED를 사용하여 주사위 눈 모양을 나타낼 수도 있겠지만 배운 FND를 사용하기로 하였다. 2. ... 처음엔 간단한 논리게이트들로 시작하여 여러 플립플롭들과 먹스, FND, 그리고 이번 텀 프로젝트 바로 전에 배운 카운터까지..
    리포트 | 6페이지 | 2,000원 | 등록일 2010.06.11
  • AVR128을 이용한 FND 동작원리
    FND는 여러개의 7-세그먼트로 이루어져 있다. ... FND (7-세그먼트) 어레이여러개의 FND가 붙어 있는 FND 어레이가 있는데 종류는 애노드 공통(A형)과 캐소드 공통(K형)이 있다. ... FND(Flexible Numeric Display)FND(Flexible Numeric Display)를 7-세그먼트라고도 하는데 주로 숫자를 표시하는데 사용된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2009.10.15
  • 적외선이용한 경품추천기
    드라이버 저항 : 1㏀ , 10㏀ 50㏀ 2㏀ 등 커패시터 : 10㎌ 100 ㎌ 등 트렌지스터 : C945P3D 입체 사진구성도 랜덤 숫자발생기 발진회로 + 세븐 - 세그먼트 카운터 ... 4518B 4bit BCD 카운터 : 클럭 수 카운트하여 출력 FND 드라이버 : 2 진 입력값을 카운터로 전달 클럭수를 카운트하여 ABCD 로 2 진수를 출력하면 FND 드라이버가 ... 회로에 적용시켜 본다 숫자를 랜덤으로 발생시켜 7 Segment 를 이용하여 출력해본다재료 IC 소자 : 4518 , 4543 - 4518 → 4bit BCD 카운터 - 4543 → FND
    리포트 | 11페이지 | 1,000원 | 등록일 2010.06.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대