• 통큰쿠폰이벤트-통합
  • 통합검색(146)
  • 리포트(143)
  • 시험자료(3)

"FND세그먼트" 검색결과 101-120 / 146건

  • BCD 7-Segment Decoder 설계제안서
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7-Segment 소자라고 이름이 붙여졌다.7-Segment는 2가지의 Type( ... 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC ... 입력으로 4Pin만을 사용한다.7447 IC는 FND의 공통단자가 VCC에 연결되는 common anode 형을 사용해야 하고 항상 +5V로 출력하다가 0V신호를 주어서 FND를 동작한다
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • 마이크로 프로세서를 이용한 암산게임기 (Codevision)
    그리고 세그먼트의 숫자도 제대로 표시되지 않았습니다. 이 것 때문에 고민을 엄청 많이 했습니다. 검색도 해보고, 친구들에게도 물어보고, 카페에서 질문해보기도 하였습니다. ... FND는 정상적으로 작동하나 첫 문제가 나오고 키패드로 답을 입력하면 바로 마지막 문제로 가는 것이었습니다. ... 그리고 좌절했습니다.LCD Display에 문제는 나왔지만 키패드로 입력하는 값이 FND로 출력이 되었습니다. 저희는 문제를 찾아냈습니다.
    리포트 | 22페이지 | 3,000원 | 등록일 2012.03.04 | 수정일 2019.12.08
  • 27진 카운터 최종보고서
    블록도7490에 함수 발생기를 연결하여 CLK를 주면 1씩 카운트가 되는데 그 BCD값을 7447이 받아 세그먼트로 표시해준다.7. ... (FND 507은 공통 애노드임.) ... 목적① 7490, 7447, FND 507의 사용법과 단자의 기능을 이해한다.② 7490을 이용한 10진 카운터의 구성방법 및 동작을 이해한다.3.
    리포트 | 14페이지 | 2,000원 | 등록일 2010.09.19 | 수정일 2020.06.29
  • 카운터 설계( 결과보고서), 36진 카운터
    이때 7-segment 의 LED가 높은 전압으로 인해 망가질 수 있기 때문에 세그먼트의 3번핀에 전압을 인가할 때 330의 저항을 거쳐서 인가해 주어야 세그먼트의 LED가 보호된다 ... 세그먼트의 Dot Point 는 설계하는 카운터에서 사용하지 않으므로 아무선도 연결하지 않아도 된다.Ⅲ. ... FND507(7-Segment)......................................................8Ⅳ.
    리포트 | 12페이지 | 2,500원 | 등록일 2010.07.12
  • 세븐 세그먼트의 정의와 특성
    정의다양한 숫자의 모양을 표현할 수 있도록 LED를 숫자 형태로 배열하고 원하는 LED만을 점등하여 숫자를 표현하게끔 만든 재미있는 소자를 세븐 세그먼트(또는 FND라고 표현)라고 ... 세븐 세그먼트의 정의와 특성1. ... 특성세븐세그먼트의 고유한 특징 때문에 몇가지 특성이 있는데, 그중 하나가 A~G까지 각각의 세그먼트들은 모두 개별적으로 연결되어 있으며, 개별적으로 저항이 연결되어 있는점이다.세그먼트들이
    리포트 | 2페이지 | 1,000원 | 등록일 2009.04.29
  • 불법 주정차 단속 시스템 제안서
    아무것도 없는 상황에서 먼가 만들려니 힘들었었는데 3학년 때 카운터와 세븐 세그먼트를 만들었던 것이 기억이 나서 이것을 기초로 만들기 시작했고 만들면서도 4학년때 처음 만들어 보는 ... Dynamic payload length and Auto retransmitSupport to bidirectional transparent mode(U지움주차가능지역은 LED와 FND를 ... 못 사용하는 문제로 작동되지 않는데 그 이유를 찾지 못해서 2시간 동안 소스 코드만 검토 할 때는 내가 왜 이러고 있어야 하는지 회의감이 들기도 하였고 제품을 구현을 했는데 세븐 세그먼트
    리포트 | 14페이지 | 1,500원 | 등록일 2012.06.04
  • 멀티플렉스,디멀티플렉스
    (그림4-56) 7-세그먼트 LED 구성도(a) 세그먼트 LED 표시 소자의 구조(b) 캐소드 공통형(c) 애노드 공통형(d) FND의 핀 접속COM에 0V가 접속 LED 세그먼트에 ... 'L' 레벨, 즉 '0'이 가해지면 해당 세그먼트가 활성화 된다.다음은 7-세그먼트 해독기와 7-세그먼트 LED를 설명한 것이다. ... 해독기(표4-29) 7-세그먼트 해독기의 진리표(그림4-55) 7-세그먼트의 10진수 표현4비트 BCD 데이터를 입력 받아서 7-세그먼트에 BCD 데이터에 해당하는 10진수를 표시하는데
    리포트 | 20페이지 | 2,000원 | 등록일 2010.11.16
  • VHDL을 이용한 신호등 설계 프로젝트
    시스템 전체 구성도- 입력으로 전체 clock의 기본이 되는 master clock, reset switch, 방향입력 switch 가 있으며,출력으로 신호등(신호조절)과, 7세그먼트 ... 상태표현Process4누적차량제어기 : 버튼을 통해서 들어오는 입력값을 누적시킨다Clock divider1kHz의 메인클럭 500회를 1회로 인식하게 한다.Counter내부 clock을 센다.FND
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • [Lab#4]7-Segment LED Display 실습
    (예) FND500, SND510, SND513, SND610, HDSP5303? ... 구동방법은 3극관의 구동방법과 똑같다.Cathode와 Annode 사이에 고압을 걸고 필라멘트를 달구어 준 다음 해당 세그먼트의 그리드에 저전압을 걸어주면 세그먼트에 발라진 형광물질이 ... 가능하다.LED식 : 소형은 세그먼트 LED에 전류를 흘려주면 빛이 난다.
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 논리회로
    Blanking Input) : 이것은 9~15번 핀이 10자리 이상의 값을 표현할 때 필 요없는 0을 출력하지 않을때 쓰는 핀.BI/RBO : 이것은 00345.80이라는 숫자를 7단 FND에 ... 이 실험은 알고있던 게이트를 연결하여 설계하는 것이어서 쉬웠지만 74LS47 IC소자로 7-세그먼트에 0~9까지 표현하는 것은 좀 어려웠다. ... 실험목적가산기의 기본 동작을 이해하는 것은 디지털 시스템을 공부하는데 중요한 기초가 되므로 반가산기와 전가산기를 직접 설계해보며 그 기능을 이해하고 74LS47소자로 7-세그먼트
    리포트 | 5페이지 | 1,000원 | 등록일 2010.10.09 | 수정일 2017.04.26
  • 7-segment
    Low에 두면 모든 세그먼트가 점등되므로 FND의 불량 유무를 알 수 있다. BI 는 LT와 반대로 모든 LED를 끄는 핀이다. ... 아래는 4511(BCD t0 7 segment decoder)의 핀 배치도와 기능표이다.부가적으로 설명을 하자면 A,B,C,D에 이진수를 입력하면 십진수로 변환하여 FND에 출력한다 ... 1.실험제목 : 7-세그먼트2.관련이론1) 7-세그먼트 (Seven-Segment)7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소숫점)7-세그먼트는 발광
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.23
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 6장(7-세그먼트디코더) 예비보고서
    그림)7-Segment-LED 는 위의 그림과 같이 숫자를 표시할 수 있도록 LED를 조합한 표시기입니다. 7-Segment-LED 를 보통 FND(Flexible Numeric ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌습니다.( 16진수의 0~15까지 표현된 7-세그먼트의 ... 이론1) 7-세그먼트7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 아래 그림과 같은 모양을 가지고 있습니다.
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.07
  • [예비레포트] 10진 카운터 제작
    이를 비교한 것이 [그림3-2]이다. 7세그먼트 중에서 FND 500과 560은 K형이며 FND 507과 567은 A형이다. ... 빛을 발광하게 된다.7세그먼트의 10진수 표시BCD to 7세그먼트 디코더의 진리표[그림 3-1] 7세그먼트7세그먼트에 사용되는 LED는 저항을 직렬로 연결한 후 전류를 흘러주면 발광하는 ... [그림 3-3] K형 7세그먼트 디코더[그림 3-3]은 K형 7세그먼트 디코더의 동작을 나타낸 그림으로서 입력되는 2진 정보를 해독하여 해당 7세그먼트 LED에 전류를 흘려준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.08
  • 마이크로프로세서를 이용한 LED와 7-Segment 응용 실험
    그럼 해당 세그먼트가 켜진다. ... 그림에서 3표시)에 1값(VCC)를 주면, 맨 오른쪽 세그먼트가 켜질 준비가 된다. ... 단지 우리 눈이 1초당 받아들이는 프레임의 수보다, 빠르게 동작시켜서 4개를 켜진 것처럼 보이게 한다.위 그림과 같이 네 개의 숫자를 표시하는 FND가 있다.
    리포트 | 24페이지 | 1,500원 | 등록일 2010.06.23
  • 마이크로 과제1-3
    void initialize(void); //외부인터럽트 초기화 함수volatile unsigned int seg_count=0,count=0,count0=0,count1=0;//세그먼트 ... ,t2=0; // led시간 카운트unsigned char segment[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xd8,0x80,0x90};// FND ... co인터럽트 초기화 함수 호출DDRD = 0xB0; // INT 0, PWM출력 포트 설정3bitDDRB = 0x08; // PWM 출력 방향 1bitDDRC = 0xff; // FND
    리포트 | 20페이지 | 1,000원 | 등록일 2012.04.01
  • 2색 LED 제어 및 7세그먼트 제어
    UNIT를 동작시키기 위하여 HEXA, FND 스위치를 “ON"시킨다.(4) 로터리 스위치 HEXL을 조작하면서 로터리 스위치 값이 7세그먼트로 디스플레이 되는지 확인해 보자.3. ... 실험 3. 2색 LED 제어 및 7세그먼트 제어0. ... [그림 3] 7세그먼트의 외부 모양과 내부 회로현재 사용하는 키트에서는 7세그먼트 4개를 하나로 묶어서 동적인 방법으로 디스플레이 하며, 동적인 디스플레이 방법은 부록 G의 회로도와
    리포트 | 8페이지 | 1,000원 | 등록일 2010.05.24
  • 디지털시스템(TTL CLOCK)
    시간을 신호로 나타낸 다음 이 신호를 사람을 볼 수 있게 FND를 이용하게 된다. 7-segment LED 에 시간을 나타내는 신호를 보내서 10진수를 표현한다. ... f, d ,p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야 한다.(2) 7-세그먼트 드라이버7-세그먼트를 동작시키는 방법은 정적(static)인 방법과 동적(dynamic ... 7-세그먼트는 캐소드(Cathode)가 공통으로 묶여 있는 것이 있고, 애노드(anode)가 공통으로 묶여 있는 것?
    리포트 | 51페이지 | 2,000원 | 등록일 2010.07.02
  • 7-세그먼트 LED 디코더 표시회로
    재료구분품명규격수량측정기 및 도구직류 안정화 전원장치DC 5V,2A1대브래드 보드WBU206/2081개실험 재료IC74LS471개IC74LS481개TR2SC19597개LED 표시기FND8072개램프DC ... REPORT7세그먼트LED디코더와 표시회로결과보고서▣실험제목- 7-세그먼트 LED 디코더와 표시회로▣실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다 ... LED 표시소자를 나타낸 것으로, a~g를 세그먼트라 한다. 7-세그먼트 LED 표시소자에는 그림 14-2(b), (c)와 같이 애노드 공통형(CA)과 캐소드 공통형(CC)이 있다
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.그림 2 / ※ SYS-Lap 5000의 7 ... IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fnd04 ... seg_c, seg_d, seg_e, seg_f, seg_g, seg_dp : out std_logic;digit : out std_logic_vector(5 downto 0));end fnd04
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • 전원회로부터 쭉 설명한 ne555를 이용한 99진 카운터회로
    될 때 클럭펄스 10번에 1번 클럭이 IC U1에 공급된다.- 카운터 도중에 Reset SW를 누르면 리셋 단자가 “H"가 되어 두 IC 출력 모두 ”L" 즉 초기화 된다.4) 7세그먼트 ... 전원을 끄고 IC 장착 후 전원을 켜서 FND 00~99 계수되는 가 확인. ... RBO는 리플 블랭킹 출력단자이다.SN7447SN7448(2) 진리표5) 표시회로(1) FND 507은 애노드 커먼 LED.
    리포트 | 8페이지 | 4,900원 | 등록일 2009.02.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대