• 통큰쿠폰이벤트-통합
  • 통합검색(8,405)
  • 리포트(7,850)
  • 시험자료(293)
  • 자기소개서(83)
  • 방송통신대(72)
  • 논문(64)
  • 서식(34)
  • ppt테마(6)
  • 이력서(3)

"input과 output" 검색결과 81-100 / 8,405건

  • [A+]중앙대학교 아날로그및디지털회로설계실습 위상 제어 루프 (PLL) 과제
    때문에Input : 5MHz, ⓐ 노드 : 5MHz, Output : 5MHz 이다.2) 위 회로의 현재 Input 주파수: 5MHz, ⓐ 노드의 주파수: 3MHz, Output ... 주파수: 3MHz일 때 충분한 시간이 지났을 경우 Input, ⓐ 노드, Output의 주파수를 구하시오. -> Answer ... (두 펄스 모두 High: 5V, Low: 0V 전압 레벨을 갖는다.)문제 21) 위 회로의 현재 Input 주파수: 5MHz, ⓐ 노드의 주파수: 5MHz, Output 주파수:
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 기초전자회로실험 - Moore & Mealy Machine 결과레포트
    . s1상태에서 0을 input하게 되면 output은 0을 나타내고 상태s1을 유지하고 input을 1하게 되면 output을 1을 내고 상태s0 으로 되돌아오게 된다. ... 밀리 머신에서는 s0상태에서 1을 input하게 되면 s0으로 제자리이고 output으로 0을 나타내고, 0을 input 하게 되면 s1으로 옮기면서 output이 0을 나타내게 된다 ... 그 후 s1에서 input을 0을 주면 s1으로 돌아오고 input을 1을 주면 s2로 옮겨지게 된다. s2상태에서 0을 input하면 s1상태로 돌아오게 되고 1을 input하게
    리포트 | 3페이지 | 1,500원 | 등록일 2021.02.27
  • 연세대학교 기초디지털실험 3주차 예비레포트 (combinational logic)
    There are 1 input, n selection lines, and outputs. ... By selecting control bit, input will be connected with one of the output. ... It is kind of combinational circuit which has input data, control lines and 1 output.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.08.18 | 수정일 2022.12.15
  • [물리화학실험] 전압 결과보고서
    그러기 위해선V _{meter}가E _{0}와 최대한 유사한 값을 가지도록 측정해야 한다.Z _{input}이Z _{output}을 무시할 수 있을 정도로 크거나Z _{output} ... _{input} = {V _{meas} TIMES R _{input}} over {(V _{meter} -V _{meas} )}새건전지DVMOscilloscope? ... 이Z _{input}에 비해 무시될 만큼 작아야 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.09.21
  • 디시설, 디지털시스템설계 실습과제 7주차 인하대
    우선 input으로 enable신호를 선언해 주었고, 4비트 input binary_in과 16비트 output decoder_out을 선언해 주었다. i는 integer(32비트) ... 이후 always문 내부에 for loop문을 사용해서 각 input에 대한 총 16비트의 output 각각의 비트에 원하는 값을 입력해 주도록 했다. output은 3항연산자를 중첩사용해 ... 정확하게 0부터 15까지 총 16번 입력이 되는 것을 확인 할 수 있다. enable신호도 1로 제대로 입력되었다. binary_in==i 연산의 결과로 각 input값에 맞는 output
    리포트 | 3페이지 | 1,500원 | 등록일 2021.08.31
  • 정치발전과 민주주의 그리고 성장과 쇠퇴 분석페이퍼
    Input의 통제는 독재의 가능성이 많고, 그렇다고 Output만 강조하는 것은 포퓰리즘으로 흘러갈 가능성이 높다. ... 자연스럽게 요구가 줄어들게 되면, Output(산출)과 Input(요구)의 격차가 줄어들게 되면서 ‘정치적 후퇴’가 줄어들 것이라 본다.다시 말해, 투입(Input)을 줄이는 것은 ... Huntington이 언급하였듯이 투입(Input)을 줄여서 효율성을 높이고, 좀 더 체계적이고, 제도화를 시켜 경제 성장을 도모하는 시스템으로 Input과 Output이 원활하게
    리포트 | 9페이지 | 2,500원 | 등록일 2023.08.28
  • 시립대_전전설2_Velilog_예비리포트_7주차
    Output으로 Load하는 기능, Reset이라는 Input이 추가되어 Reset = 1이 될 경우 Output이 0이되는 카운터 이다.(3) Serial I/O BCD to Excess ... 결과는 State에 의해서 결정된다.State TableMealy MachineMoore MachinePresent State과 x(Input) 을 Input으로 생각하면 되고, Next ... 주고 해야 Output이 Load된다.CLEAR : Reset과 같은 용도로 사용되는 부분으로, 이 부분이 활성화 되면 Output이 0이 된다.< Output >, : A, B,
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11 | 수정일 2022.04.21
  • 건국대학교 전기전자기초설계및소프트웨어실습 7주차 레포트 A+
    아두이노는 디지털 핀을 Input/Output으로 정하여 사용한다.○ pinMode(uint8_t pint, uint8_t mode); : 처음 아두이노의 디지털 핀의 input/output을 ... 정의한다. ex) D2의 핀을 output으로 정의 : pinMode(2, OUTPUT) 혹은 pinMode(D2, OUTPUT)○ digitalWrite(uint8_t pint, ... 출력이 결졍되지 않는다는 것에 주의해야 한다. ex) D2의 신호를 HIGH로 변경 : digitalWrite(2, HIGH)○ digitalRead(uint8_t pin); : input으로
    리포트 | 12페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    Moore machine에서는 output이 state의 영향만 받고 input의 영향은 받지 않았는데 Mealy machine에서 state_3을 보면 input이 1일 때 output이 ... Moore machine과 가장 큰 차이점을 보이는 것은 outputinput이 관여하느냐에 관한 문제이다. ... _2에서는 input에 0이 인가되어 있으면 바로 다음 state인 state_3로 이동하고, state_3에서는 input에 0이 인가되어 있으면 state_1로 이동하고 이외에는
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 논리 모델을 적용하여, 코로나 19 극복을 위한 프로그램 사례와 견혜
    투입(inputs)?활동(activities)?산출(outputs)?성과(outcome)단기성과?중기성과?장기성과문제사항은 현재 문제 사항을 기술, 개입계획을 수립하는 단계이다. ... ), 활동((inputs), 산출(outputs), 성과(outcome)로 구성되어 있으며 성과는 단기성과( Initial outcomes), 중기적 성과(Intermediate outcome ... 활동을 통해 얻은 결과물이 산출(outputs)이며 성취된 작업량에 따라 달라진다.교육한 시간, 실시한 상담회기 등 성과를 얻기 위해 서비스의 양과 수준, 유형을 예상할 수 있으며
    방송통신대 | 5페이지 | 3,000원 | 등록일 2023.04.05
  • 서울대학교 전자학 및 계측론 (현 중급물리실험 2) 다이오드 & 트랜지스터 보고서 (A+)
    the DC voltage, while the output voltage being provided the input voltage is higher than the DC counterpart.D ... Each output was compared with raw signals. ... Clamped signal after a Zener diodeFigure 6 shows the output signal when the circuit is constructed as
    리포트 | 5페이지 | 2,500원 | 등록일 2023.11.17
  • (2021 최신) 회로실험 레포트 OP Amp의 기본 응용 회로
    OP Amp를 이용해 Interator를 구성하면 Output신호는 input신호가 적분된 형태가 된다. 즉 임의의 Analog 신호가 적분 되어 Output으로 나오는 것이다. ... 전압이 Input 전압의 미분에 비례하는 것을 알 수 있다.은 미분time 상수이고 미분기 Gain으로 1 의 Input 신호 변화에 대해 Output 전압이 몇 인가를 나타낸다. ... 하지만 전류를 증폭시킨다.Voltage Follower는 Input 전압을 전압강하 없게 Output전압으로 보내는 역할을 수행한다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.12.08 | 수정일 2021.12.16
  • 시립대 전전설2 Velilog 결과리포트 3주차
    ,output2} = input1 + input2 + input3; 위 코드는 output1의 자리가 올림 수 자리이고, output2의 자리가 그보다 아래 자리 수 이다. ... ,output2} = input1 + input2 + input3; 위 코드는 output1의 자리가 올림 수 자리이고, output2의 자리가 그보다 아래 자리 수 이다. ... 코드를 작성해주면서 오류가 여러번 났었는데 우선 input과 output을 설정해줄 때 input [3:0]a, [3:0]b, [3:0]c; 와 같이 작성하였을 때 syntax 오류가
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    사용된다.• Output compare timing: output compare 레지스터 CCRx와 CMT 사이의 비교가 output에 영향을 미치지 않는다. ... 그러기 위해, SCMR의 TS bits로 TIxFPx를 input trigger로 설정한다. ... 타이머 counter는 input channel rising edge마다 reset되어야 한다.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 경영학원론 A+ 중간고사 족보
    한마디로, input 자원과 output 자원의 비율이다. ... input 자원은 A 보다 B 공장이 더 많으므로 A 공장이 B 공장보다 효율적이라고 볼 수 있다. ... 예를 들면, 두 공장에서 똑 같은 물약을 만드는데, A 공장에서는 5 명이 10 개를 생산하고 B 공장에서는 10 명이 10 개를 생산한다고 하면 output 자원은 10 개로 같고
    시험자료 | 4페이지 | 5,000원 | 등록일 2023.03.07 | 수정일 2023.04.14
  • 기본로직 설계 및 시뮬레이션 검증 회로설계
    2개,OUTPUT기호 1개 생성A,B INPUT에 대한 파형 입력Run Functional Simulation 실행 결과Pin planner에서 A N25, B N26 지정 후Run ... 2개,OUTPUT기호 1개 생성A,B INPUT에 대한 파형 입력Run Functional Simulation 실행 결과Pin planner에서 A N25, B N26 지정 후Run ... 2개,OUTPUT기호 1개 생성A,B INPUT에 대한 파형 입력Run Functional Simulation 실행 결과Pin planner에서 A N25, B N26 지정 후Run
    리포트 | 32페이지 | 2,000원 | 등록일 2022.01.05
  • 인하대 데이터구조 5주차 Homework02 infix, postfix
    Problem definition: The formula expressed in infix is input to stdin, converted to postfix and output ... to stdout.Make sure that stdin accepts one expression and that postfix is still output to stdout so ... that it can continue taking other words, one by one, and exit if there is no input expression.Performing
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.15
  • 표준 입출력 장치가 무엇인지 설명하시오
    하나는 입력을 위한 스트림(Standard input, STDIN, 0), 하나는 출력을 위한 스트림(Standard Output, STDOUT, 1), 하나는 오류 메시지를 출력하기 ... 스트림모니터표준 입력표준 입력(Standard input)은 프로그램에 입력되는 데이터의 표준적인 출처(장비나 파일)를 일컬으며 stdin 으로 줄여 표현한다. ... 유닉스 쉘에서는 표준 입력이 키보드로 설정되어 있다.표준 출력표준 출력(Standard output)은 프로그램에서 출력되는 데이터의 표준적인 방향(장비나 파일)을 일컬으며 크게 표준
    리포트 | 2페이지 | 3,000원 | 등록일 2023.05.25
  • [프로그램개발과평가 3학년] 1. 논리 모델의 구성요소와 그 요소들이 어떻게 연결되는지를 설명하고, 코로나 19시대에 아동, 노인, 장애인, 지역사회 등 문제 상황을 중심으로 논리 모델을 적용하여, 코로나 19 극복을 위한 프로그램 사례
    (1) 투입(inputs)(2) 활동(activities)(3) 산출(outputs)(4) 성과(outcome)2. ... )(2) 활동(activities)(3) 산출(outputs)(4) 성과(outcome)3) 논리 모델의 구성요소들이 어떻게 연결되는지 설명4) 코로나 19 극복을 위한 프로그램 사례 ... 지역사회 등 문제 상황을 중심으로 논리 모델을 적용하여, 코로나 19 극복을 위한 프로그램 사례(1가지)를 제시하시오(35점).1) 논리 모델이란2) 논리 모델의 구성요소(1) 투입(inputs
    방송통신대 | 9페이지 | 6,000원 | 등록일 2021.05.15
  • 성인간호학실습-SOAPLE 1개,간호네러티브 1개
    :3057.42.대상자의 섭취량과 배설량을 측정한다.3/53/63/7정맥1160정맥1260정맥960경구650경구200경구450소변370소변380소변450대변횟수3대변횟수3대변횟수1Input-output840Input-output1080Input-output9603 ... 소변도 예전보다 안나와 ”O 객관적자료-주호소 :오심/구토-Na 검사수치 :125, 129, 124, 126-K 검사수치 : 3.4, 2.5, 2.9-I/o날짜3/53/63/73/8Input-output84010809601010
    리포트 | 3페이지 | 2,500원 | 등록일 2023.04.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대