• 통큰쿠폰이벤트-통합
  • 통합검색(226)
  • 리포트(213)
  • 서식(6)
  • 시험자료(5)
  • 방송통신대(2)

"rca보고서" 검색결과 81-100 / 226건

  • 심근경색증 케이스 스터디
    BinData/image4.bmp..FILE:Contents/section0.xml심근경색증 간호과정사각형입니다.교과목성인간호학Ⅲ담당교수학년 / 반3학년학번이름제출일2022.12.5보고서 ... 좌심실 후벽의 경색은 우관상동맥(RCA)과 좌관상동맥의 회선가지(LCX)의 폐색으로 온다.4.
    리포트 | 24페이지 | 2,500원 | 등록일 2023.06.06
  • [성인간호학실습_CCU/ICU] 사례보고서(AMI) (간호진단3개)
    심혈관계중환자실CCU사례보고서(Acute Myocardial Infarction)실습 기관 :실습 부서 :CCU실습 기간 :2018.05.282018.06.08제 출 자 :학 번 : ... 좌심실 후벽의 경색은 우측 관상동맥(RCA)과 좌측 관상동맥의 회선가지(LCA)의 폐색으로 온다.3) 증상① 흉통심근경색의 통증은 흉골하부의 압박감으로 나타나며, 왼팔과 등, 턱으로
    리포트 | 25페이지 | 2,000원 | 등록일 2020.05.23 | 수정일 2020.06.24
  • A+ 받은 심근경색 케이스 (간호과정 진단 4개)
    사례보고서 서식(Case Study Form)김○○(58세/남)님은 고도비만으로 고혈압(2000/01/17)을 앓고 있으며 2년 전 동맥경화증을 진단 받아 체중 감량을 위해 다이어트를 ... 좌심실 후벽의 경색은 우측 관상동맥(RCA)과 좌측 관상동맥의 회선가지(LCX)의 폐색으로 온다.4. 증상 및 징후1) 흉통 : 가장 특징적인 증상으로 협싱통이 90%이상이다.
    리포트 | 27페이지 | 2,000원 | 등록일 2020.06.24 | 수정일 2020.11.23
  • 보건의료정보관리사 국가고시 의료의 질관리 [217점 합격]
    Flexner : 미국 의학교육 현황파악, 의과대학 표준제안Flexner보고서 19104. Codman : 수술 후 퇴원환자 추적조사최종 치료 “결과평가”5. ... 근본원인분석 RCA- 위해사건(adverse event), 근접오류(near miss)의 근본원인 밝히기 위해- 후향적? 산점도- 상관관계, 인과관계도 유형- ex. ... 근무시간과 직무만족도, 고객만족도와 매출액(개선안선정도구)? 의사결정매트릭스 : 최적안의 대안 선정, 가중치?
    시험자료 | 7페이지 | 3,000원 | 등록일 2020.03.18
  • [A+자료]성인간호학 실습 뇌경색 Case study
    시나리오 기반 학습연구를 통해 뇌경색에 대해 자세히 알아보고, 올바른 간호중재에 대해 생각하고 공부하는 것이 이 보고서의 목적이라 할 수 있겠다.2. ... ●Objective data-mental state : drowsy- pupil response-> Rt 3P/Lt 3P- HTN- Brain CT :RCA occlusion대상자의
    리포트 | 12페이지 | 2,500원 | 등록일 2020.11.11
  • 성인 심근경색(MI)케이스, 통증, 비효율적 조직관류, 비효과적 건강관리
    사례보고서진단명 : MI(Myocardial infarction)실습기관실 습 부 서실습기간학번이름제출일지도교수Ⅰ. ... 관상동맥 개입술(PCI)8/1311:50LAD : pLAD calcification+ pLAD 80% stenosis with residula therombusLCx : pLCx 30%RCA
    리포트 | 18페이지 | 2,000원 | 등록일 2020.12.27 | 수정일 2021.01.12
  • [성인간호학] 심근경색MI 케이스
    성인간호학 실습사례보고서( Myocardial Infarction )목 차Ⅰ. 서론1. 연구의 필요성2. 연구의 목적Ⅱ. 문헌고찰1. 질병의 정의2. 질병의 원인 및 병태생리3. ... RCA (right coronary artery): 우 관상동맥- 혈전이나 혈관연축(빠른 수축) 등에 의해 3개의 관상동맥 중 어느 하나라도 급성으로 막히는 경우, 심장의 일부 또는
    리포트 | 20페이지 | 2,000원 | 등록일 2020.09.09 | 수정일 2024.06.10
  • A+ (응급실) 급성 심근경색 케이스
    적용대상은 급성 심근경색, 75세 이상의 고령자에게도 시행된다.NSTEMI(3vd, p-LCX,p-RCA, m-RCAstent)- OP 후 A구역으로 이동함.9/6AM 8:30-EKG ... -Hydralazine IV-N/S 100ml+Perdipine IV- 누워 있다가 서는 자세로 변경하면 혈액량이 줄어들어서 혈압이 낮아진다.- 심근의 산소 이용량 증가, 심근이 허혈 ... 확인하는 데 유용하다.5) 핵의학 검사- 경색의 부위와 크기를 확인할 수 있으나 Acute MI와 오래된 상흔 조직과의 감별진단은 어렵지만 심근허RS를 확인하여 이상을 보이는 경우 보고한다
    리포트 | 20페이지 | 4,000원 | 등록일 2020.03.21
  • A+성인간호학 실습3 다발성근염 케이스 스터디, 사례보고서, 간호과정 3개, 간호진단 5개, 우선순위 있음
    사례보고서polymyositis (다발성 근염)과목성인간호학 실습지도교수교수님학번4학년이름제출일자2021.목 차Ⅰ. 서론 및 문헌고찰1. 서론2. 문헌고찰Ⅱ. 본론1. 간호력2. ... 구조의 이상 확인.CT, MRI, PET 등chest CT심근의 염증 소견.뼈와 내부 장기의 구조의 이상 확인.AngiographyCAGnormal (LMCA, LAD, LCx, RCA
    리포트 | 25페이지 | 2,500원 | 등록일 2021.08.15
  • FTA가 우리나라의 식품산업에 미친 영향(가공식품을 중점으로)
    한국농촌경제연구원이 발표한 ‘한-EU 자유무역협정 발효 6년, 농축산물의 교육 과제와 시사점’에 관한 보고서에서는 FTA가 이행된 지 6년차인 2016년 7월부터 2017년 6월까지 ... 특히 호주산 쇠고기의 경우 RCA 지수가 1 이상으로, 국제적으로 경쟁력을 인정받고 있다.국내에 수입되는 쇠고기 중에서도 호주산이 차지하는 비중은 늘 미국산과 대등한 지위를 유지하며
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.29
  • [성인간호학실습2] 간호사례연구보고서, Heart failure, HF, Asthma, 심부전, 천식, 케이스스터디
    간호사례연구 보고서Heart failure, HFAsthma♣과 목 :♣학 과 :♣학 번 :♣이 름:♣지도교수 :♣제출일자 :Case study실습지OO병원실습기간2019.00.00 ... present날짜검사명검사결과평가2019.05.16Spirometry andFlow-Volume Curve심각한 폐쇄성 폐결핵이 있음확산 용량은 정상 범위 이내임PFT가 14%로 변경됨*관상동맥조영술CAG① RCA
    리포트 | 20페이지 | 3,000원 | 등록일 2019.12.08
  • A+ 성인간호학 AMI 질환스터디
    성인간호학 실습Ⅰ질환보고서진단명 : 급성 심근경색(Acute Myocardial Infarction)실습병원 :실습부서 :실습기간 :지도교수 :제출자 :제출일 :< 목차 >Ⅰ. ... 정OO님은 ER에 온 당일 스텐트삽입술을 통해 막힌 RCA을 넓히는 시술을 하였다.어느 치료 방법이든지 치료의심실빈맥으로 사건 발생 하루 안에 사망할 만큼 경과가 좋지 않다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.26
  • 심근경색증 case
    사례보고서 ------------------------------------------------------- 61. ... 좌심실 후벽의 경색은 우관상동맥(RCA)과 좌관상동맥의 회선가지(LCX)의 폐색으로 온다.4. ... 섬유소용해제는 정맥으로 주사하며 투여방법이 쉽고 신속하게 치료가 진행되므로 심근경색 사망률을 감소시킨다.(3)투약①니트로글리세린: 흉통 호소시 설하정 질산염 제제를 5분 간격으로 3번까지
    리포트 | 22페이지 | 2,500원 | 등록일 2022.04.13
  • 경북대학교 언론의사회사 강의자료
    프레스 카드제 부활 검토- 6공 치하에서 언론은 탄압 받는 피해자에서 기득권 세력의 일원으로 편입4. 6공의 언론정책과 기자 문화- 5공의 ‘보도지침’, 6공의 ‘언론인 개별 접촉 보고서 ... 새로운 매체의 등장- 54년 한국일보 창간: 상업주의, 사실보도 표방- 54년 기독교 방송 개국: 종교채널의 한계와 강점 고루 발휘- 56년 최초의 TV방송: 한국 RCA 연합회사
    시험자료 | 21페이지 | 2,000원 | 등록일 2020.07.25 | 수정일 2022.09.30
  • 간호사의 비판적 사고에 대한 사례 보고
    간호사의 비판적 사고에 대한 사례 보고서 학번 : 발표자 :임상 사례 비판적 사고 후속조치 개선점 나의 생각 느낀점 목 차국군 00 병원 처방 오류 ’16 년 6 월 치과 외래 발치 ... 일이내 보고 심하면 level 4 와 동일 Level 4 인지 즉시 유선보고 , 24 시간내 환자안전관리 위훤회에 전산 보고 - 24 시간내 국군의무사령부에 유선보고 - 1 개월이내 RCA
    리포트 | 16페이지 | 4,000원 | 등록일 2018.02.03 | 수정일 2021.04.06
  • 질향상과 환자안전교육
    경영진은 보고서를 검토한 후 개선 계획에 따른 정책 결정 및 지원 사항을 검토 . 5. ... 작성 질향상활동 계획서 검토 및 피드백 질향상활동 지원금 지급 질향상활동 중간보고 및 모니터링 최종보고서 취합 QI 경진대회 : 우수부서 포상 사후관리 : 지속유지관리 (CQI, 부서업무화 ... 분석 도구 : RCA process of The Joint Commission RCA 분석 시 분석 기준 : 근본원인 분석 매트릭스 ( 별첨 9.
    리포트 | 22페이지 | 3,000원 | 등록일 2017.03.09 | 수정일 2018.08.24
  • NCS직업기초 이러닝 시험 정리본입니다. (1장~7장)
    기업에서 주로 사용하는 보고서들을 들고 그 용도를 설명하여라.: 영업보고서 : 재무제표와 달리 영업상황을 문장 형식으로 기재해 보고하는 문서결산보고서 : 진행됐던 사안의 수입과 지출결과를 ... 보고하는 문서일일업무보고서 : 매일의 업무를 보고하는 문서주간업무보고서 : 한 주간에 진행된 업무를 보고하는 문서출장보고서 : 회사 업무로 출장을 다녀와 외부 업무나 그 결과를 보고하는 ... 문서회의 보고서 : 회의 결과를 정리해 보고하는 문서13.
    시험자료 | 28페이지 | 7,900원 | 등록일 2019.04.28
  • 2주차Solution polymerization of Styrene결과보고
    결과보고서실험 날짜 : 2018.03.16작성자 : 20160272 김영은초록이번 실험은 AIBN을 개시제로 한 styrene의 용액중합법을 습득하고 장단점을 알아보는 실험이다. ... d1id=11&dirId=1114&docId=53124044&qb=67aE7JyE6riw6rCA7Iqk&enc=utf8§ion=kin&rank=1&search_sort=0&spq ... d1id=11&dirId=1114&docId=53124044&qb=67aE7JyE6riw6rCA7Iqk&enc=utf8§ion=kin&rank=1&search_sort=0&spq
    리포트 | 3페이지 | 1,500원 | 등록일 2019.07.07
  • 성인간호학실습 심혈관계 심근경색 STEMI 고혈압간호진단 심박출량감소 피부손상위험성
    약물보고서J. 검사보고서N. 참고문헌A. 간호사정- NANDA 인간반응양상 중심 -1. ... 성인간호학실습사례연구보고서목차A. 간호사정B. 문헌고찰C. PBL을 통한 사례분석D. 자료분석E. 간호진단F. 간호계획 기록지G. 간호수행 기록지H. 간호평가 기록지I. ... 기타1) 주진단명 : STEMI (5/9:3vDz, m-RCA stent)부진단명 : Old MI (2009.9.17, d-RCA stent, 본원)Ventricular TachycardiaHypertension
    리포트 | 33페이지 | 1,000원 | 등록일 2019.02.14
  • 심근경색 케이스 스터디
    예후Ⅲ 간호과정: 사례보고서 양식에 맞춰서 작성1. ... 사망률이 25%, 좌심실부전과 심실부정맥을 일으킨다.· 회선동맥(circomlex coronary artery) : 후벽, 측벽 심근경색, 동성부정맥이 발생한다.· 우측관상동맥(RCA ... [ 간 호 사 례 보 고 서 목 차 ]Ⅰ 서론: 연구의 필요성 및 목적.Ⅱ 문헌고찰:1. 질병의 정의 및 원인2. 질병의 병리기전3. 진단방법4. 증상5. 치료와 간호6.
    리포트 | 22페이지 | 2,000원 | 등록일 2017.08.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대