• 통큰쿠폰이벤트-통합
  • 통합검색(226)
  • 리포트(213)
  • 서식(6)
  • 시험자료(5)
  • 방송통신대(2)

"rca보고서" 검색결과 121-140 / 226건

  • 응급실 간호과정 (Case Study)- 심근경색(MI)/성인간호학/사례연구/레포트
    참고문헌※ 사례보고서 양식(응급실용)1. ... Lab data(1) 일반혈액학검사(CBC; Complete Blood Count) 결과보고서항목정상 범주단위4월 4일임상적 의의WBC4.0 10.0×10^3/uL12.24White ... Thrombolysis(혈전용해술) d/t Aucte MI→ 2001년 LAD stent insertion 좌전하행동맥 (Left Anterior Descending artery)→ 2004년 RCA
    리포트 | 16페이지 | 4,000원 | 등록일 2017.01.30 | 수정일 2022.02.19
  • [컴퓨터공학기초설계및실험2 보고서] Multiplexer design
    컴퓨터 공학 기초 설계 및 실험2 보고서실험제목: Multiplexer design제목 및 목적제목Multiplexer design목적멀티플렉서(multiplexer)의 동작원리 및 ... (.Sum(tb_Sum), .Cout(tb_Cout), .A(tb_A), .B(tb_B), .cin(tb_cin));ordered mapping으로 instanation할 때에는 RCA ... 하위계층모듈포트이름(접속신호) 형태와 같이 표현한다.FA ADD0 ( .A(A[0]), .B(B[0]), COUT(1’b0), .SUM(SUM[0]), .COUT(COUT[0]) );RCA
    리포트 | 10페이지 | 1,500원 | 등록일 2015.04.12
  • 한중 fta 전망과 국내에 미칠 영향 PPT
    종료 ( 민감 분야 보호에 대해 정부 간 사전협의를 통해 충분히 논의’할 것을 명시 - 산 · 관 · 학 공동연구 보고서 ) - 2010 년 9 월 이후 , 한중 FTA 에 대해 ... 한 · 중 FTA 진행현황 2) 한중 FTA 진행현황 - 2010 년 4 월 이후 , 한중 FTA 논의가 급부상되고 2010 년 5 월에 한중 FTA 산관학 연구 보고서를 제출하면서 ... 우리 측은 농어업부문에 미치는 영향을 객관적으로 반영하고 민감 품목의 보호방안을 보고서에 명시할 것을 강조 , 중국은 민감성 보호방안 명시에 반대하여 공동연구서 채택에 실패본 론 2.2
    리포트 | 30페이지 | 1,000원 | 등록일 2013.08.26 | 수정일 2015.06.19
  • 울혈성 심부전[CHF] CASE. 간호진단3개 [아주자세히]
    질병보고서과목명성인간호학담당 교수님-학번/이름-실습병원-실습기간-제출일-- 목차 -Ⅰ.서론 ------------------------------------------------ p3Ⅱ ... 심방세동[판정]Suspicious significant ASModerate LV systolic dysfunction with ischemic insults of LAD and RCA
    리포트 | 22페이지 | 2,000원 | 등록일 2018.06.08
  • 간호실습CABG사례연구보고
    사례연구 보고서1. 대상자 데이터베이스1) 환자 사정자료 :성 인 사 정 도 구- NANDA 인간반응양상 중심 -1. ... 대칭성, 크기, 각도모두 적절하며 이도의 이물질 없음.코 : 시진 : 대칭적이며, 저농도 산소 공급으로 인해 비강건조 관찰되지 않음.촉진 : 전두동과 상악동의 압통 없음.사례연구 보고서1 ... )Compatible with myocardial infarction at RCA territories.2) 발병일시 : 상기 53세 남환은 2009년 DM진단받고 medication외
    리포트 | 13페이지 | 3,000원 | 등록일 2013.02.12 | 수정일 2013.12.31
  • 에이쁠 아동간호학 실습 가와사키사례연구 (진단3, 과정3)
    소아청소년 간호과정 사례보고서(영아기~청소년기)입원일2016년4월2일퇴원일2016년4월12일사례연구 시작일2016년4월4일(입원 제3일째)사례연구 종료일2016년4월15일(입원 제11일째 ... 영상검사General Echocardigram(4/6)(FINDING)no intracadiac anomaly r/o ectasia LAD nomal RCA MR, trivial with
    리포트 | 19페이지 | 3,500원 | 등록일 2018.03.27
  • Myocardial Infarction(PTCA) case
    간호과정 사례보고서 양식A. ... 이 후 Lt.Femoral에 CAG(RCA)후 PTCA 하고 응급실로 다시 왔다.
    리포트 | 16페이지 | 1,000원 | 등록일 2017.06.04 | 수정일 2018.11.02
  • 반도체공학-MOS diode설계 최종보고
    (metal 의 workfunction 은 text book 이나 인터넷 검색을 통해 알아볼 것.)설계 내용설계보고서를 결과물로 제출하며, 설계보고서에는 다음의 내용이 포함되며, 서론 ... 따라서 좀 더 특별한 클리닝(RCA1, RCA2)이 요구된다.⑤ Poly-Si deposition게이트 산화 막 위에 게이트 전극 역할을 할 poly-Si 을 CVD에 의해 증착한다
    리포트 | 11페이지 | 1,500원 | 등록일 2013.12.22
  • 성인간호학 case study 급성심근경색
    좌심실 후벽의 경색은 우측 관상동맥(RCA)과 좌측 관상동맥의 회선가지(LCA)의 폐색으로 온다.? ... 통계청의 사망원인 결과보고(2008년)에 의하면 1997년의 인구 10만 명당 13.7명에서 2007년에는 29.5명으로 변화되어 최근 10년 동안의 허혈성 심장 질환의 115% 증가 ... 선유소 용해요법의 기준: 급성 심근경색의 전형적인 흉통이 6시간 이하인 경우허혈이 간헐적이면 6시간 이상된 흉통급성 심근경색과 일치하는 12유도 심전도 결과출혈의 가능성이 없을 때①
    리포트 | 23페이지 | 3,000원 | 등록일 2017.09.14
  • 응급실 심근경색 케이스 A+ (NSTEMI)
    응급환자간호사례보고서NSTEMI ( Non-ST segment elevation myocardial infarction )과목명지도 교수실습장소제출일실습기간실습 학생 학번 및 성명목차1 ... 이어서 kissing balloon를 LCX (2.5 Balloon와 LAD(3.5 NC)에 여러 차례 시행하였음. small RCA에 대해서는 약물 치료하기로 함수행 후 간호?
    리포트 | 20페이지 | 5,000원 | 등록일 2018.11.12 | 수정일 2019.09.15
  • 학습활동 10, 11
    사건/사고 보고는 OCS 위험관리보고서(낙상보고서, 투약오류보고서, 적신호사건보고서, 기타보고서)를 통해 보고한다.다. ... 접수된 사건/사고는 24시간 이내에 자세한 내용을 포함하여 위험관리보고서로 접수하여야 한다.4) 접수된 위험관리보고서 사본을 원무팀에 전달하여 비용 감면 처리 증빙자료로 첨부한다.나 ... 근본원인분석 (Root Cause Analysis, RCA)원치 않는 사건의 발생에 있어서 이면에 내제되어 있는 근본적인 원인을 분석하는 과정을 의미한다.2.
    리포트 | 6페이지 | 2,000원 | 등록일 2014.06.13
  • (과제 A+ 받고, 수업시간에 따로 앞에서 읽었음) 태국 가상의 여행기( 경험을 바탕으로쓴), 여행계획, 기행문, 태국여행기, 태국여행, 관광계획
    39000원할일아침거리 사러 모닝마켓 쇼핑, 카오산으로 이동 후 여행자버스 예약, 왕립 박물관 방문, 푸카오텅 오르기, 치앙마이로 출발카오산로드- 명실상부 배낭여행자의 성지이자 보고이다 ... 도시의 서쪽엔 짜오프라야강이 흐른다.2). 치앙마이- 태국 제2의 도시. 북부 최대의 도시. 습하고 무더운 방콕과 비교해 비교적 서늘한 기후이다. ... 2일치 결제: 160B온천: 200B식사:200B재즈바에서 맥주:100B총합: 약 34000원할일숙소 체크인, 오토바이 대여, 쌈캄팽온천 방문, 저녁엔 재즈바쌈캄팽 온천: 치앙마이 서쪽의
    리포트 | 11페이지 | 2,000원 | 등록일 2015.10.12 | 수정일 2016.06.07
  • 실험02 MOSFET Digital Logic Gate(예비)
    예비보고서MOSFET Digital Logic Gate제출일 :학 번 :이 름 :1 실험 주제- Digital Logic Gate를 기초로 하여 MOSFET의 동작을 이해한다.2 실험과 ... High와 Low의 차이가 많게는 0.11V에서 적게는 0.01V밖에 차이가 나질 않아서 확신은 서지 않는다. ... 이에 대해서 CMOS로직은 1996년에 미국의 RCA사와 모토로라사에 연이어 발표된 것으로서 저소비 전력과 사용전압 범위가 넓어 급속도로 발전하였고, 최근에는 LSI화와 TTL에도
    리포트 | 6페이지 | 1,000원 | 등록일 2014.12.11
  • 미국의 기업문화( GE와 IBM),GE의 경영체제와 경영전략,IBM의 기업 진화 과정,GE와 IBM의 현 기업문화 비교
    세상을 만드는 스마터플래닛 (Smarter Planet) 이란 아젠다를 제시하고 관련사업을 전개 ▷ ‘ 향후 5 년간 일어날 5 대 혁신기술 (Next Five in Five)’ 보고서 ... 85) 81 년 GE 의 8 번째이자 최연소 회장 취임 (45 세 ) 83 년 크로톤빌 연수원을 기업혁신의 메카로 개혁 (47 세 ) · 사업 확장기 ('85 ~ '95) 85 년 RCA ... ▷ 1981 년 제 8 대 CEO 잭웰치 취임 ▷ 사업구조 개혁 ( 리스트럭처링 ) ▷ 1985 년 크로톤빌 연수원의 리모델링 , ‘ 인재사관학교 ’ 로의 탈바꿈 ▷ 1986 년 RCA
    리포트 | 30페이지 | 5,000원 | 등록일 2014.01.21
  • 가와사키 case study
    사례보고서(Kawasaki disease, KD)목차Ⅰ. 서론Ⅱ. 문헌고찰Ⅲ. 간호과정 적용Ⅳ. 결론 및 제언Ⅴ. ... Coronary ectasia in RCA11/16?
    리포트 | 25페이지 | 2,000원 | 등록일 2017.06.01
  • angina pactoris 케이스 성인간호학 내과 실습 (협심증)
    2014학년도 2학기 성인간호학실습 Ⅰ사례보고서진단명 : angina pactoris(협심증)실습병원 : 목포 한국병원실습부서 : 내과 (35병동)실습기간 : 2014. 11. 24 ... 간호과정 사례 보고서Ⅰ. 서론1. 연구의 필요성 및 목적2. 연구기간 및 방법3. 문헌고찰(질환의 정의, 원인, 병태생리, 증상, 검사, 치료 및 간호)Ⅱ. 간호과정1. ... -LAD: PLAD 85% stenosis-LCX: WNL(within normal limit, 정상범위내)-RCA: WNL2.5*15mm balloon 8atm/2.5mm, IVUS
    리포트 | 14페이지 | 2,000원 | 등록일 2016.03.16
  • 마케팅 불변의 법칙 요약
    ITT 해롤드 제닌 -> 분기보고서, 수익에 집착 (마케팅 실패)Ex2. ... IBM ‘큰, 막강한’ -> RCA, GE, 유니백, 버로스, 허니웰, NCR, 컨트롤데이터의 메인프레임 컴퓨터 (실패) -> 보스턴에 근거지를 둔 신생 기업 ‘작은’이라는 속성 소유
    리포트 | 11페이지 | 1,000원 | 등록일 2016.11.26
  • ER case report 심근경색(MI) Case study - 응급실 실습 (AAA+++)
    소변, 대변 등의 배출량보다 많은 것; 심장기능 저하 시 발생할 수 있음4) 진단검사 (Lab data)(1) 일반혈액학검사(CBC; Complete Blood Count) 결과보고서항목정상 ... Thrombolysis(혈전용해술) d/t Aucte MI2000년 LAD stent insertion 좌전하행동맥 (Left Anterior Descending artery)2003년 RCA
    리포트 | 9페이지 | 2,000원 | 등록일 2015.03.21
  • CS case
    문헌고찰 보고서(계속)범주문헌내용대상자증상/징후1.흉통① 심하고 격렬하고 쥐어짜는 듯하고, 빠르게 확산되며 지속적인 흉골하 통증② 휴식이나 설하 혈관 이완제 투여에 의해통증이 경감되지 ... ) 후 adm.)3) 주 증 상 : chest discomfort, dizziness, cold sweating4) 시 술 명 : Primary PTCA & Stent (RCA) 시술날짜 ... 2010 년 10 월 30 일(10/30일 pm 4:00경 갑자기 상기 증상 및 cold sweating 동반되어 local 경유하여 ER 통해 primary PTCA & Stent (RCA
    리포트 | 27페이지 | 1,500원 | 등록일 2012.07.22
  • 관상동맥질환 레포트
    -원인세계보건기구(WHO)가 출간한 세계건강 보고서에 따르면 심혈관질환 원인 중 75퍼센트가 4대 위험요인인 흡연, 고지혈증(고콜레스테롤증), 고혈압, 당뇨 때문이며, 기타 관상동맥질환 ... 관상 동맥은 우(右)관상 동맥(RCA)과 좌(左)관상 동맥(LCA)이 둘로 나뉘어 이루어진 left anterior descending branch(LAD)와 circumflex branch ... 혈류공급 장애가 생기는 병변을 가르킨다.관상동맥질환은 보통 우관상동맥, 좌전하행동맥, 좌회선동맥의 세가지 관상동맥계로 단일, 이중, 삼중혈관 관상동맥 질환으로 구분한다국내에선 정확한 보고
    리포트 | 10페이지 | 1,000원 | 등록일 2015.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대