• 통큰쿠폰이벤트-통합
  • 통합검색(663)
  • 리포트(643)
  • 시험자료(10)
  • 논문(6)
  • 방송통신대(3)
  • 자기소개서(1)

"동기카운터 클럭" 검색결과 101-120 / 663건

  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    카운터(Counter) : 클럭 펄스를 세어서 수치를 처리하기 위한 논리 회로 (디지털 회로)이다.? ... -신호의 타이밍에 따라 동기식과 비동기식으로 나누어진다.(3) 동기식과 비동기식-동기식 : 클록 펄스(Clock Pulse)가 들어오는 시점에서 동기화되는 회로이다. ... 전원이 공급되는 한, 상태의 변화를 위한 신호(클럭) 가 발생할 때까지 현재의 상태를 유지하는 논리회로이다.-플립플롭의 종류? RS 플립플롭 ? JK 플립플롭 ? D 플립플롭 ?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • (기초회로 및 디지털실험) 16진 동기 및 비동기 카운터 설계
    [비동기카운터의 구조와 동작원리]첫 단의 플립플롭에 클록신호가 인가되어, 이 첫단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의 영향이 ... 이해하여 16진 동기 및 비동기 카운터를 설계한다. ... 구분실 험 제 목설계316진 동기 및 비동기 카운터실험 조건TTL IC(SN7400, SN7476, SN7490)를 이용하여 구현한다.설계 과정비동기동기카운터의 구조와 동작원리를
    리포트 | 7페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 디지털 만보기
    SR래치순차회로의 기본요소로, 클럭신호를 사용하지 않는 비동기 기억소자이다.0과 1 신호에 의해 결과값 Q, Q’ 값이 출력된다3. ... REPORTTerm Project 보고서과목명 : 디지털회로 실험 및 설계분반 :담임교수:학과 :팀장 :팀원 :실험명디지털 만보기역할분담분담이랄게 따로 없으며 모든과정 다같이 참여함동기및목적걷기 ... 아래 그림과 같이 오른쪽 벽쪽으로 붙게되면 전류가 흐르지 않았던 부분에 접촉하게 되어 전류가 흐르게 됨전체적인설명spec기울기 센서를 이용하여 SW200소자가 한 번 동작할 때마다카운터
    리포트 | 10페이지 | 3,000원 | 등록일 2023.11.07
  • 디지털회로실험 7-세그먼트, 비동기카운터 실험 레포트
    기본 이론- 비동기카운터- 비동기카운터는 첫 번째 플립플롭의 CP(clock pulse)에만 클럭펄스가 입력된다. ... 디지털회로실험실험보고서제목 : 7-세그먼트 디코더 및 표시기비동기카운터 (4비트 비동기식 상향 카운터)(4비트 비동기식 하향 카운터)(비동기식 2진 · 5진 카운터)1. ... 기본 이론- 비동기식 2진 · 5진 카운터(7490)- 비동기식 2진 · 5진 카운터는 2진 카운터(mod-2 카운터)와 5진 카운터(mod-5 카운터)가 독립적으로 내장되어있으며,
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • 서울시립대 전전설2 Lab-08 예비리포트 (2020 최신)
    실습 1에서 reg로 선언한 카운터클럭동기화되어 자동으로 값이 올라가고 그 값을 segment의 형태로 single FND에 표시하도록 하는 모듈을 만들었다. ... .- 입력: 1Hz의 Count clock- resetn: 0으로 초기화(비동기)- Up/down mode change: 1kHz 클럭으로 mode change- count up은 ... 동기화)- 출력: 8bit signed count 값을 앞의 실습 5 모듈에 instantiation하여 넘겨주어 FND Array에 출력always문 두 개를 각각 다른 클럭
    리포트 | 17페이지 | 1,500원 | 등록일 2021.09.10
  • 홍익대학교 전전 실험1 플립플롭 예비보고서
    그림에서 설정 시간 ts는 클럭의 상승 모서리 시점 이전에 동기식 입력신호가 변하지 않아야 되는 최소 시간간격을 말하며, 홀드 타임 th는 클럭의 상승 모서리 시점 이후에 동기식 입력신호가 ... 따라서 클럭의 상승 모서리 시점에서는 플립플롭의 동기식 입력신호 값이 변화되어서는 안되며, 실제로 모든 플립플롭들은 상승 모서리 시점 직전과 직후의 일정시간동안 플립플롭의 동기식 입력신호 ... 그런데 만일 클럭의 상승 모서리 시점과 동일한 시간에 플립플롭의 동기식 입력신호 값이 변하면 출력 값은 어떻게 될 까?
    리포트 | 8페이지 | 2,000원 | 등록일 2020.12.25
  • 텀프로젝트 디지털논리실험및설계 [ 주차장 카운트 ] A+자료
    동기 (클럭에 무관) 또는 동기적으로 카운터의 시작점을미리 설정할 수 있는 카운터이며 전파지연 때문에 FF들이 동시에 트리거 되지 않음. ... 클럭주파수를 너무 크게 하면 문제가 발생하고 최대 누적 지연시간 < 클럭 주기이다.업/다운 카운트에 채터링 방지 하기 위해 저항을 달아줘야 했지만 나중에 인지하여 하지 못 함.- NE555 ... 동기 및 목적일상생활에 자동차를 끌고 나갈 때 가장 문제가 되는 것이 주차 문제이다.
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • SoC 보고서 - 1.동기통신(PS2)
    그러기 위해서는 edge를 감지하고 카운터를 이용해서 일정시간이 지난 후 신호를 받아들이면 될 것 같다.동기 통신에 대한 개념과 그것을 구현하기 위해 알아야하는 개념, 프로토콜, 하드웨어 ... 병렬 연결과 달리 높은 클럭 주파수를 사용할 수 있기 때문에 속도가 느리다는 단점을 상쇄할 수도 있다. ... STOP 상태 중간에 이 값을 만들고 싶다면 카운터를 이용하면 될 것이다.실습에서는 하지 않았지만 패리티 기능을 추가해서 설계를 해봤다.
    리포트 | 22페이지 | 2,500원 | 등록일 2021.09.23
  • 전자공학과 지거국 편입 면접대비 총정리 자료
    리플 카운터 / 동기카운터리플 카운터 : 플립플롭의 출력의 변화가 다른 플립플롭들을 트리거 하는 소스 역할을 한다.동기카운터 : 모든 플립플롭들의 클럭 입력은 공통된 클럭 신호를 ... (동기식 or 비동기식) 카운터에서 플립플롭이 N개 일 때, 딜레이 시간은 몇 ns인가? ... 카운터를 두 종류로 나누면?A.
    자기소개서 | 27페이지 | 25,000원 | 등록일 2022.03.03 | 수정일 2023.01.30
  • 아주대 논리회로실험 실험8 Counter 예비보고서
    그리고 원하는 진수에 다다르면 출력은 다시 0부터 순환되는 구조를 가지고 있다.3) 동기식 Counter- 앞에서 설명한 비동기식 Counter와 반대로 모든 F/F이 같은 클럭에 ... 동작은 앞에서 설명한 비동기식 Counter과 비슷하지만 모든 F/F이 같은 클럭에 의해 동시에 트리거되어있어 출력이 동시에 변한다.4) BCD Counter- 10진수를 가장 자연스럽게 ... 실험 목적- 카운터의 동작원리와 특성을 이해한다.- 2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해한다.- 카운터를 이용해 디코딩과 인코딩의 코드변환 동작원리를 이해한다.2.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 텀프로젝트 RC카 마이크로프로세서 Atmega128
    클럭 발생부는 내부클럭 16MHz와 동기해서 데이터를 송수신 할 것인지 사용자가 따로 정한 데이터 전송 속도에 맞춰 데이터를 송수신 할 것인지 정하게 된다. ... 제어 포트DDRB = 0xf0; // PWM 출력 포트DDRC = 0xff; // CLCD 제어 포트//DC 모터 설정TCCR1A = 0x82; // 0b1000 0010, 타이머카운터1 ... 또는 동기식의 통신모드 지원- 동기식으로 동작하는 마스터 또는 슬레이브 모드 지원- 고분해능의 Baud Rate 발진기 내장 (9600, 115,200bps 등)- 짝수 또는 홀수
    시험자료 | 32페이지 | 10,000원 | 등록일 2023.12.15
  • 부산대 응전실1 4주차 예비보고서(A/D, D/A 변환기)
    3개가 사용됩니다. 7490은 비동기카운터이기 때문에 UP카운터만 사용됩니다. ... A/D 변환기는 클럭 동작 속도, 샘플링율, 해상도 또는 분해능, 구조 등에 따라 구분합니다. ... 그리고 divide-by-two 카운터를 제공하기 위한 추가적인 게이트를 가지고 있습니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2022.04.13
  • 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다. ... 동기식 계수기는 모든 플립플롭이 공통된 clock에 의해 작동되므로 설계가 용이하고 동작이 빠르다는 장점이 있다.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... cnt=0이 된다. resetN이 falling하는 경우가 아니고 clock이 rising할 경우 정상적으로 카운터는 up된다. ... 동기식 계수기는 모든 플립플롭이 공통된 clock에 의해 작동되므로 설계가 용이하고 동작이 빠르다는 장점이 있다.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 방통대 방송대 컴퓨터구조 5페이지 암기노트 핵심요약정리
    시스템버스와 연결레지스터세트: 기억장치 중 가장 빠름범용 레지스터(일시적 저장): 소규모, CPU 내부 (스크래치패드 메모리 구조 for 대규모)특수 레지스터주소 기억PC (프로그램 카운터 ... , 200개, 마이크로프로그램 제어방식, 기억장치접근, 파이프라인 비효율RISC (Reduced): 고정길이, 1개 클럭, 30여개, 하드웨어 제어방식, CPU내부, 파이프라인 효율 ... IF, ID, OF, EX) / 6단계(FI, DI, CO, FO, EI, WO)속도향상=파이프라인 사용X 소요시간 / 파이프라인 소요시간CISC (Complex): 가변길이, 수백클럭
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.04.10 | 수정일 2024.04.12
  • 조선대 전자회로실험 디지털시계 과제 레포트
    IC 2의 6번 핀, IC4 의 6번핀 그리고 IC6 의 1번, 2번, 6번 핀은 접지로 연결한다.설명 재료 설명 설치 방법 7490 IC 7490 IC 는 10진 비동기카운터로 원하는 ... 직렬로 연결되어서 1개의 카운터를 지날 때마다 2분주가 됩니다. 16384Hz를 클럭에 넣으면 이와 같이 출력이 나옵니다.Q0 - 8192Hz Q1 - 4096Hz Q2 - 2048Hz ... 카운터 회로에서 초와 분 단위는 60진 카운터 이고, 시 단위는 12진 카운터이다. 60진 카운터에서 10진 카운터용으로 7490과 6진 카운터용으로 7492를 사용하고. 12진 카운터에서는
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • 충북대학교 전자공학부 기초회로실험II 결과보고서 실험 20. 회로의 주파수 응답과 필터
    실험 결과(10) 은 그림 회로를 클러겡 동기 시켜 확장시킨 회로이다. 입력 X 및 클럭의 신호 구성은 실험 2와 동일하다. ... 카운터 회로, 실험 20 회로의 주파수 응답과 필터과목명기초회로실험 II담당교수실험 조학과전자공학과학번이름실험 19.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.19
  • 운영체제 3장 연습문제 풀이 (그림으로 배우는 구조와 원리)
    운영체제는 실행 상태의 프로세스가 프로세서를 계속 독점하지 않도록 인터럽트 클럭을 두어 할당된 시간동안만 프로세스 점유하게 됨.대기 준비. ... 실행순서 결정하는 스케줄러는 디스크에 저장된 프로그램에 프로세서를 할당해서 장치나 메모리 같은 파일 자원 참조.그리고 프로세스를 지원하고 협력해서 교착상태, 보호, 동기화 같은 정보 ... 라이브러리 ex ) Win32 스레드, 자바 스레드 API등장점- 이식성이 높음 : 커널에 독립적으로 스케줄링 할 수 있어 모든 운영체제에 적용 가능.- 오버헤드가 적음 : 스케줄링이나 동기화를
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.09
  • 정실, 정보통신기초설계실습2 11주차 결과보고서 인하대
    실험 결과 보고서(11주차)실험 제목 : 동기동기 카운터실험 목적 : 7474칩셋을 사용해 시프트 레지스터와 링 카운터 회로를 구성한다.실험준비장비 세팅Function generator ... 클럭이 5번째입력 되는 부분을 살펴보면 4번째 출력이 첫번째 flip flop으로 다시 feedback되는 것을 확인 할 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    제어장치에서 발생된 제어신호가 클럭동기되어 레지스터의 상태를 인에이블시킨다. ( 레지스터 선택 )#구성될 CPU 내부 로직기본 CPU에는 위와 같은 구성으로 내부에 레지스터들이 ... D5T5는 PC에서 제어해주므로 BUS만 연결했다.# PCPC 레지스터는 총 12bit로 되어 있는 레지스터로서 3개의 74LS163을 직렬로 연결하여 설계했다.PC 레지스터는 프로그램 카운터를 ... counter ( SC )에서 0부터 숫자를 세기 시작해 4to16 Decoder에서 T 신호=타이밍 펄스가 되어 나온다. ( T0~T15 )기본 컴퓨터의 모든 플립플롭과 레지스터는 주클럭
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대