• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,145)
  • 리포트(3,687)
  • 자기소개서(222)
  • 시험자료(144)
  • 방송통신대(85)
  • 논문(5)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로" 검색결과 101-120 / 4,145건

  • 디지털논리회로 내용 정리(수기 작성 중요 내용 정리)
    리포트 | 1페이지 | 1,000원 | 등록일 2020.03.13
  • A+받은 디지털논리회로 2~3장 정리노트
    리포트 | 10페이지 | 1,000원 | 등록일 2022.06.22 | 수정일 2022.10.20
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    2018년 1학기 실험(1): 디지털 논리회로12018년Final Project1목차1. Door-Lock Project 설계 지시사항32. 설계 준비413. 설계 아이디어64. ... 전체 회로도완성된 Door-Lock 회로6. ... 전체 회로 평가(1) Logic Works로 구현한 회로도의 장단점기본적인 회로를 구성하기 전에 먼저 Logic Works를 이용하여 기본적인 '0'과 '1'로 나타내어지는 회로
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    실험목적 :4-bit Binary 숫자를 2-digit Decimal 숫자로 바꿀 수 있다.? ... Your Circuit should be able to display the digits from 0 to 9, and should treat the valuations 1010 to ... SummaryYou are to design a circuit that converts a four-bit binary numberV`=`v3v2v1v0 into its two-digit
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털논리회로실험(Verilog HDL) - Characters and Displays
    LAB02: Characters and Displays1.관련이론(Decoder)? Decoder : Popular combinational logic building block, in addition to logic gates- Converts input binary..
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.디코더와 인코더의 원리를 이해한다.실험 이론2진화 ... 나머지 6가지의 패턴은 BCD가 피연산자인 논리회로에서는 작동하지 않아야 한다. ... 인코더는 디지털 시스템에서 정보를 전송하는데 상용된다.실험 장비 및 재료실험 장비NI ELVIS오실로스코프: PHILIPS 60 MHz Digital Storage Oscilloscope
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표반가산기와 전가산기의 원리를 이해한다.비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.멀티플렉서의 ... 원리를 이해한다.실험 이론가산기가산기는 덧셈을 수행하는 디지털회로이다. ... 요소로서, 가산기의 기본 동작을 이해하는 것은 디지털 시스템을 공부하는데 중 요한 기초가 된다.그림 1의 회로를 구성하고 진리표를 작성한다.그림 2의 회로를 구성하고 SW의 상태에
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 디지털논리회로실험(Verilog HDL) - Switches, Lights, Multiplexors
    LAB01 : Switches, Lights, Multiplexors1. 관련이론 (Multiplexor ? Mux)? Mux : Another populer combinational building block-Routes one of its N data inputs ..
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털 실험 5장(논리회로 간소화) 결과보고서
    회로" 디지털 회로이다. ... 저항-트랜지스터 논리는 최초로 트랜지스터화하여 사용된 디지털 회로로, 다른 종류에는 Hyperlink "https://ko.wikipedia.org/wiki/%EB%8B%A4%EC% ... " 집적 회로로 생산되면서 최초의 디지털 Hyperlink "https://ko.wikipedia.org/w/index.php?
    리포트 | 7페이지 | 3,000원 | 등록일 2019.12.17
  • 울산대학교 디지털실험결과22 디지털 논리회로의 전압특성과 지연시간
    디지털 실험 22장. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :디지털 실험 22장. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :1. ... 검토 및 토의이번 실험의 목적은 2진수를 전압으로 처리하는 디지털 논리회로의 동작전압, 지연시간 등을 측정하여 회로의 특성을 파악하는 실험이다. ... 이번 실험을 통해서 논리 1과 논리 0을 사용하여 아날로그 신호가 아닌 디지털 논리 회로를 구성함으로 부의 논리를 구하는 실험을 조금이나마 이해할 수 있었으며, noise margin은
    리포트 | 2페이지 | 2,000원 | 등록일 2021.03.20
  • 울산대학교 디지털실험예비22 디지털 논리회로의 전압특성과 지연시간
    디지털회로 22. 디지털 논리회로의 전압특성과 지연시간학번 : 이름 :1. ... 실험 이론모든 디지털 기기들은 디지털 논리회로에서 배운 부울대수의 AND, OR, NOT의 3개로 연산하는 장치이다. ... 이미 실험한 아날로그 회로에서 신호들의 연속 값은 연속이지만, 디지털 논리회로는 0(False)과 1(True)의 2개의 논리 값만 사용한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2021.03.20
  • 디지털 실험 2장(다이오드 논리회로) 결과 보고서
    게다가 디지털 논리회로의 가장 큰 문제점은, 게이트가 여러 개 직렬로 연결되어질 때, 다이오드의 전압강하가 더 강해져서 부하전압에서 측정되는 전압이 현저하게 낮을 수도 있게 된다는 ... -다이오드 논리회로의 문제점을 고찰한다.2. 실험순서실험에 쓰인 저항(R)은 330Ω 이다. ... 실험 2장 스위칭 회로 결과보고서1. 실험목적-반도체 다이오드의 스위치 특성을 공부한다.-다이오드를 이용하여 AND, OR 논리를 실현한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2019.12.17
  • 디지털 논리 회로 설계 실험 최종프로젝트 레포트 A+ 타이밍게임(회로도있음)
    디지털 논리 회로 설계-타이밍게임_20180619000 교수님000 조교님금요일 2,3교시B000000 000-목차-(1) 설계 아이디어(2) 설계 방법(3) 전체 회로도(4) 평가1 ... 7402를 이용해 SR래치를 만들었다.LED 1에 불이 들어왔을 때 (출력값 1) UP으로 입력되고 LED 7 에 불이 들어왔을 때 (출력값 0) DOWN으로 입력된다.SR래치 회로
    리포트 | 11페이지 | 2,000원 | 등록일 2021.07.09
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 디코더의 논리회로도와 진리표그림 3-2는 2-to-4 디코더의 또 다른 형태로 디코더이다. ... Encoder 회로도 및 진리표그림 3-3의 인코더 회로에서 진리표를 보면 입력신호가 D0, D1, D2, D3의 4개이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Encoder 과 Decoder소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 부호기는 10진수를 2진수 또는 BCD코드로 변환시켜주는 조합논리회로이다. ... 응용 실험(1) [그림 2]의 부호기 회로에 두 개 이상의 입력이 1인 경우 중 한 가지를 골라 어떤 일이 일어나는지 실험해보시오.이번 실험은 부호기 회로의 특성을 이용하여 여러 개의
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 디지털 논리회로 실험 9주차 Shift Register 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 궜��궜��궜�↑1000↑1100↑1110↑1111↑0111↑0011↑0001↑0000존슨 카운터는 맨 마지막 플립플롭의 출력 중 NOT 출력을 첫 번째 플립플롭의 입력과 연결한 회로이다 ... 특히, (2)의 실험에서 초기화 하는 과정을자세히 서술하시오.이번 실험에서는 Shift Register의 개념을 이해하고 그에 관한 회로를 구성하여 이론을 학습하고 응용하여 또다른
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • [디지털논리회로] 4장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.27
  • [디지털논리회로] 2장 연습문제 풀이 (생능출판, 김종현)
    리포트 | 8페이지 | 1,000원 | 등록일 2023.06.27
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    디지털 논리회로 설계 및 실험예비보고서주제 : Shift Register소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 ... 실험 이론레지스터는 많은 디지털 시스템에서 매우 중요한 논리 블록이다. 레지스터들은 2진 정보를 저장하고 디지털 연산을 실행하는데 사용된다. ... [그림 2]존슨 카운터는 맨 마지막 플립플롭의 출력 중 NOT 출력을 첫 번째 플립플롭의 입력과 연결한 회로이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    디지털 논리회로 실험 학기말 프로젝트1. ... 전체 회로 사진5. ... 사용하는 RAM은 총 2가지이며 첫번째 램은 데이터 저장용이고 두번째 램은 출력을 위한 시연용이다.작동 논리는 다음과 같다.1.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:38 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기