• 통큰쿠폰이벤트-통합
  • 통합검색(207)
  • 리포트(193)
  • 자기소개서(13)
  • 이력서(1)

"4주차. Verilog HDL" 검색결과 101-120 / 207건

  • Lab#08 Application Design1
    (Top level Source : HDL)나) Verilog 소스 작성 후 프로젝트에 추가, 컴파일을 수행한다.다) 핀을 설정한다.(.UCF 파일 작성)라) TB파일 소스작성 후 ... (Top level Source : HDL)나) Verilog소스 작성 후 프로젝트에 추가, 컴파일을 수행한다.다) 핀을 설정한다.(.UCF 파일 작성)라) TB파일 소스 작성 후 ... Dynamic 7-Segment Controler Design일단 주어진 교안 코드에서 오류가 있었는데, SEG_COM register를 4비트로 주고, case안에서 8비트값을 대입시켰다
    리포트 | 30페이지 | 1,500원 | 등록일 2016.09.11
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Pre
    따라서 4-bit Full Subtractor가 제대로 동작함을 확인할 수 있다.Reference교안 – Verilog HDL 실습 Lab#04 Combinational Logic ... Design, Arithmetic Logic and Comparator, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDLVerilog HDL을 이용한 디지털 ... [실험 3] 4-bit Full Adder 설계Add SourceSource Code모듈을 지정해주고, 총 4개의 A, B Input과 Sum을 묶어 4-bit Variable로 지정한다
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • [논리회로실험]부울대수의 간소화
    Verilog HDL을 지원한다. 이것은 곧 설계자들이Verilog HDL을 선택하게 만들었다.4. ... Verilog 설계에서 최상위 레벨(Top Level)설계에서 사용되어진다.Verilog HDL의 문장을 기술할 때 주의 사항1) 대문자와 소문자를 구분하며 예약어는 반드시 소문자를 ... 이 레벨에서의 설계는 게이트 레벨 논리 다이어그램에 의해서 묘사하는 것과 유사하다.4. 스위치 레벨Verilog에서 ㅔㅈ공하는 추상화의 가장 하위레벨이다.
    리포트 | 2페이지 | 1,500원 | 등록일 2013.11.22 | 수정일 2020.12.31
  • 논리회로실험) 부울대수의 간소화(2) 예비보고서
    예 비 보 고 서5주차실험 4 : 부울대수의 간소화(2)-Verilog HDL code 이용1. ... 기본 실험 이론1) Verilog HDL 이란 ?? ... 실험 목적- 부울대수를 Verilog HDL의 형태로 표현하는 방법을 이해한다.- Verilog HDL code로 Quartus II를 이용하여 합성하고 Programming 하는
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • Application Design Ⅰ7-segment and Piezo Control
    HDL Module 이름을 선택한 다음 마우스 오른쪽 버튼을 클릭하여 New Source...항목 선택한다.Verilog Test Fixture 선택하고 파일 이름을 설정한다. ... Dynamic 7-Segment에서 사용하는 I/O는 데이터 8개 + Common 4개이다.7-Segment에 표시할 데이터를 전달하고, 4개의 7-Segment 중 어느 곳에 나타나게 ... Supposed Data and Results of this Lab (예상 실험 결과)4.
    리포트 | 16페이지 | 1,000원 | 등록일 2016.04.06
  • 실리콘웍스 합격 자기소개서
    Controller와 Datapath로 이루어진 MU0를 Verilog를 이용하여 코딩 후 시뮬레이션을 통해 ACC 값을 확인하는 프로젝트였습니다. ... 또 다른 전공과목인 수치해석 및 디지털신호처리 과목에서는 Matlab을 이용하여 주어진 문제들을 해결하고 신호 파형들을 알아보기 위한 프로젝트들도 진행해 보았습니다. ... 큰 성취감을 느낄 수 있었습니다.3.직무역량과 경험(1000자이내)신입지원: 연구 프로젝트 및 졸업논문 내용에 대해 상세히 기술.Digital 설계 - System- Logic(HDL
    자기소개서 | 5페이지 | 3,000원 | 등록일 2018.08.18
  • Application DesignⅡ Text-LCD Control
    HDL Module 이름을 선택한 다음 마우스 오른쪽 버튼을 클릭하여 New Source...항목 선택한다.Verilog Test Fixture 선택하고 파일 이름을 설정한다. ... 또한, 4비트로 인터페이스 할 경우에는 DB4~DB7 을 사용하며, 상위 4 bit를 먼저 전송하고 다음에 하위 4 bit를 전송해야 한다.CGRAM address Setting : ... (DL=0)로 설정하고, 화면 표시 행수를 2행(N=1) 또는 1행(N=0)으로 설정하며, 화면의 밝기를 BR1~0에 의해 100%, 75%, 50%, 25%로 설정을 해 줄 수 있다
    리포트 | 18페이지 | 1,000원 | 등록일 2016.04.06
  • 논리회로실험) 부울대수의 간소화(2) 결과보고서
    결 과 보 고 서5주차부울대수의 간소화(2) : Verilog HDL code1. ... Verilog HDL을 사용하여 익숙해짐에 있다 . ... 결과를 작성① f = ab' + a'b'c 의 Verilog HDL을 작성한다 .- Schematic을 사용 안하고 Verilog HDL 문법을 사용한다 .( OR - | NOT
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • Sequential Logic Design Ⅰ Flip-Flop, Register and SIPO
    HDL Module 이름을 선택한 다음 마우스 오른쪽 버튼을 클릭하여 New Source...항목 선택한다.Verilog Test Fixture 선택하고 파일 이름을 설정한다. ... for this Lab플립플롭 회로개요조합 논리 : 출력 결과가 입력으로 들어오는 값에 의해 정해짐.이전의 결과 또는 입력 신호에 의하여 동작이 구성되기 위해서는, 그 값을 저장해 줄 ... Supposed Data and Results of this Lab (예상 실험 결과)4.
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    왜냐하면 4bit-FullAdder는 1bit-FullAdder보다 입력 및 출력되는 값이 훨씬 많기 때문에 이를 모두 핀에 설정시켜줘야 함을 알 수 있었다.Conclusion (결론 ... text files-Preview of HDL templates-Syntax Coloring-When editing a text file, an asterisk appears next ... Mixing and matching design files is allowedText Design EntryAvailable Features -Line numbering in the HDL
    리포트 | 23페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    SelectSynthesis tool : XST(VHDL/Verilog)Simulator : iSim(VHDL/Verilog)Preterred Language : VerilogAND ... Language TemplateEidt > Language Template에서 HDL의 기본 Template를 제공Text Editor(HDL Source)ISE CompilationSynthesizeSynthesize는 ... . a와 b값을 XOR로 연결해 준 값을 s로 주었고, AND로 연결한 값을 c로 주어서, 이진수 a와 b의 합을 구할 때 합의 값이 1을 넘을 경우 Carry가 올라가도록 설계하였고
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • 논리회로실험 5주차 결과보고서
    결 과 보 고 서5주차부울대수의 간소화(2)Verilog HDL code 이용분반 : 0성명 : 000학번 : 2010000실험일: 0000.00.001. ... 실험과정[ 실험 1 - 1 + 2]지난 4주차 실험에서 F = AB` + A`B`C , S = B`( A+C ) 라는 두 개의 부울식을 Quartus Ⅱ Schematic을 이용하여 ... F = AB` + A`B`C와 S = B`( A+C )에 대해 Verilog HDL을 만들고 Modelsim을 이용해서 결과 파형을 작성한다.모든 Verilog HDL 문법의 시작과
    리포트 | 6페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 서울시립대 전자전기컴퓨터설계실험2 제05주 Lab04 Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ5주차. ... HDL을 이용하여 4-bit Full Adder Subtractor, 1-bit Comparator, 4-bit Comparator, 4-bit Comparator를 이용한 연산 ... 설계하라고 쓰여 있으나, 실제로 1-bit Comparator를 이용하는 것보다 이용하지 않고 Programming 하는 것이 더 간단할 수 있다.Reference교안 – Verilog
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • Quartus II와 Modelsim 사용법 및 FPGA를 이용한 검증 결과
    - 이 과정에서는 Verilog HDL 문법이 틀릴 경우 error 상태로 simulation이 되지 않는다.*(1) 문장 끝날때마다 ;를 붙여줘야 한다.(2) #50 은 Delay ... (Format for output setting 이 Verilog HDL) 이어야 한다.[ 사진 ] Simulation을 위해 Verilog HDL 문법을 이용한 모습-Test Bench ... HDL format을 한다.4) device 와 file을 추가하여 Block Diagram/Schematic 실행5) Not gate 1개 와 input output 추가[ 사진
    리포트 | 6페이지 | 2,000원 | 등록일 2014.01.06
  • 전자전기컴퓨터설계실험2(전전설2)6주차예비
    실험의 목적(Purpose of this Lab)Xilinx 프로그램으로 HDL 중 하나인 verilog를 사용하여 순차회로를 이해하고 순차회로 중 플립플롭 회로에 대해 이해한다.2 ... HDL project를 생성새 프로젝트를 생성할 때 프로젝트의 종류를 설정해주어야 한다. ... HDL의 문법을 이용하여 원하는 게이트를 구현하기 위해서 project 파일을 HDL로 설정하여 생성한다.2. text file로 생성Project 파일과 마찬가지로 원하는 게이트를
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Pre
    HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Pre-lab Report전자전기컴퓨터설계실험Ⅱ11주차. ... always 구문이다.LCD를 setting하기 위해 필요한 Delay time이 다르므로, 각각의 cnt 값 또한 다르다.Source Code – Part 4LCD state에
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Post
    Post-lab Report전자전기컴퓨터설계실험Ⅱ6주차. ... 변수만 다른 코드를 Copy & Paste할 필요 없이, 함수를 호출하고 함수의 Return Value만 사용하면 되므로 코드를 훨씬 간소화할 수 있다.Reference교안 – Verilog ... HDL 실습 Lab#04 Combinational Logic Design, Arithmetic Logic and Comparator, 서울시립대학교.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 전전컴설계실험2-5주차결과
    -5주차 Post Lab#03-[Verilog_HDL]학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 조교님수업날짜2013.9.30-5주차 실험 ... -테스트벤치모듈HDL 모델을 시뮬레이션을 하기 위한 Verilog 모듈DUT에 인가될 시뮬레이션입력(stimulus)을 생성하는 구문시뮬레이션 대상이 되는 모듈(Design Under ... HDL modeling 방법을 사용한다.
    리포트 | 23페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전전컴설계실험2-5주차예비
    -5주차 Pre Lab#03-[Verilog_HDL]학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 교수님담당조교김민혁 조교님수업날짜2013.9.30-5주차 실험- ... -테스트벤치모듈HDL 모델을 시뮬레이션을 하기 위한 Verilog 모듈DUT에 인가될 시뮬레이션입력(stimulus)을 생성하는 구문시뮬레이션 대상이 되는 모듈(Design Under ... HDL modeling 방법을 사용한다.
    리포트 | 14페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • Full CPU 설계 프로젝트-Verilog HDL code 포함
    Introduction[1]1) Verilog HDL을 이용하여 Full Function CPU를 Design2) Partial CPU를 확장하여 Full Function을 지원하는 ... opcode와 여러 파라미터들을 이용하여 Instruction을 수행한다.Writeback : Execute 후 나오는 결과 값을 Register, Memory에 저장하고 Flag를 저장해 준다 ... 지났는지 여부를 표시(2) operating 과정Instruction Fetch : Memory로부터 Instruction을 가져오고 , Program Counter를 2 증가시켜준다.Decode
    리포트 | 29페이지 | 5,000원 | 등록일 2016.06.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대