• 통큰쿠폰이벤트-통합
  • 통합검색(2,346)
  • 리포트(2,118)
  • 시험자료(186)
  • 방송통신대(26)
  • 자기소개서(11)
  • 서식(3)
  • 논문(2)

"AND/OR 연산" 검색결과 101-120 / 2,346건

  • [최우수 튜터링 자료] C프로그래밍 자료형 학습 자료
    = )논리연산자 : and, or와 같이 논리를 파악하는 연산자 ( &&, || , ! ... 만능키이다.단항연산자 : 피연산자가 1개인 연산 ( ++a)산술연산자 : 더하기, 곱하기, 빼기, 나누기 ( +, -, *, /, %)관계연산자 : 둘의 관계를 비교하는 연산자 ( ... 핵심 : 단항 > 산술 > 관계 > 논리 > 대입 (단,산,관,논,대)우선순위는 단항 연산자가 제일 선순위로 연산 대입 연산자가 제일 후순위이다.연산 방식을 따지기 귀찮으면 ( )
    시험자료 | 3페이지 | 1,500원 | 등록일 2022.12.16
  • 컴퓨터구조, ALU 시뮬레이터 구현과제 보고서
    •CPU 내부에서 논리연산을 수행하는 과정을 시물레이션하기 위헤 Logicoperator 객체 내에 각각 NOT , or, and, xor 과같은 논리연산을 static 메소드로 구현함 ... •사칙연산 시뮬레이터를 Calculator 클래스로 추상화하고 각각 연산과정을 별도의 객체로 구현함. ... 문제정의컴퓨터의 중앙처리장치의 "산술, 논리 연산장치 (ALU, Arithmetic Operation) "B강의시간에 배운 내용에 따라 시뮬레이터로 구현한다.• 두 정수에 대한 사칙연산
    리포트 | 26페이지 | 2,000원 | 등록일 2020.12.16
  • [예비보고서] 9.4-bit Adder 회로 설계
    (NAND-NAND) 또는 OR-AND(NOR-NOR) 로직 회로를 설계한다. ... (답안)(C)에서는 AND,OR,NOT 게이트를 이용하여 다소 복잡한 Full Adder 논리 회로를 설계하였다. ... (답안)세 개의 입력을 A,B,Cin으로 하고, ANDOR, NOT의 기본적인 논리 게이트만을 이용하여 (B)에서 구한 불리언 식에 대한 논리 회로를 다음과 같이 설계하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • 충북대학교 전자공학부 기초회로실험 반가산기와 전가산기 예비 보고서
    gate)*SN7432 (Quad 2-input OR gate)*SN7486 (Quad 2-input XOR gate)◆ 예비과제(1) 이론 부분을 이해하고 AND, OR 및 NOT ... (Binary Arithmetic) : 2진수 체계는 모든 디지털 시스템의 기초이므로 디지털 회로에서는 모든 연산 동작이 2진수를 사용하도록 구성되어 진다. ... 기초회로실험I담당교수:소 속: 전자공학전공학 번:이 름:◆ 목 적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다◆ 이 론(1) 2진 연산
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 전기및디지털회로실험 실험6 예비보고서
    -가산기모든 논리적 함수관계는 AND, OR, NOT 세가지의 기본 동작의 조합으로 표현이 가능하다. ... 그러나 이 AND, OR, NOT 등을 사용한 간단한 회로에서는 더욱 하위에서의 자리올림을 처리하는 것이 불가능하므로 가산 회로로서는 불완전하여 이와 같은 회로를 반가산기라고 한다.2 ... AND가 O력되는 불 대수(boolean algebra)의 값이 입력값에 의해서만 정해지는 논리 회로인 조합 논리 회로(combination logical circuit)로 연산하는
    리포트 | 16페이지 | 1,000원 | 등록일 2023.06.30
  • 디코더, mux, comprator, 4비트 감가산기
    a1^b1))' 이기에 3번째 빈간은 &가 들어가고,4번식은 1번과 2번으로 나뉘고 2번째 빈칸은 1,2번의 연산이기에 |(or)가 됩니다. 1번째 빈칸은 a1과b1의 xor이기에 ... 여기에서는 2개의 입력값을 AND gate 연산과 Not를 이용해서 총 4가지 출력값을 도출 하였습니다.이를 응용해서 BCD 2진법 입력값 4개를 이용해서 7segment Display방식을 ... 우선은 입력값으로는 a,b와 부호를 결정짓는 op(1일 빼기연산을 0일 경우에는 덧셈연산을 합니다.) 값이 있습니다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • [한성대학교 A+] c프로그래밍 족보
    (OR) 12 | 8 d. (SHIFT 연산) 12 ... 다음의 비트연산 결과를 10 진수로 나타내세요 (풀이과정을 자세히 제시하세요).a. ( XOR 비트연산) 12 ^ 8 b. (AND) 12 & 8 c.
    시험자료 | 3페이지 | 2,000원 | 등록일 2023.06.20 | 수정일 2023.07.06
  • sql 문 실행 예제
    AND/OR/IN/NOT 연산자 사용..실행 :쿼리 예 : ANDSELECT prod_id, prod_name, prod_priceFROM ProductsWHERE prod_id = ... prod_priceFROM ProductsWHERE prod_id = ‘id_01’ OR prod_id = ‘id_02’A : WHERE 절에서 OR 연산자 사용B : 쿼리 결과쿼리 ... ‘id_01’ AND prod_name = ‘apple’A : WHERE 절에서 AND 연산자 사용B : 쿼리 결과쿼리 예 : ORSELECT prod_id, prod_name,
    리포트 | 34페이지 | 2,500원 | 등록일 2021.04.22
  • (A+자료) C언어 비트 연산
    complement~bitwise and&bitwise exclusive or^bitwise inclusive or|시프트 연산자left shift다른 연산자와 마찬가지로 비트 단위 ... 연산 a - b는 a + (-b)와 같고, -b는 b의 1의 보수를 취하여 1을 더하면 얻는다.비트 단위 논리 연산자&(and), |(inclusive or), ^(exclusive ... or)는 이항 연산자이다.
    시험자료 | 11페이지 | 2,000원 | 등록일 2023.01.11 | 수정일 2023.01.17
  • PLC와 RELAY의 차이점에 관한 레포트
    릴레이(AND, OR, NOT)? 업다운 카운터? 산술연산, 논리연산? 전송기능? 높은 자율성? 릴레이(직/병렬 AND, OR)? 타이머? 단순한 프리셋 카운터경제성? 저렴하다? ... PLC의 이더넷 통신과 필드 버스등 새로운 통신규약에 호환성을 갖고 점점 빠른 연산속도의 초소형 PLC로 발전하고 있습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.10.09
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    ALU (Arithmetic Logic Unit)산술 논리 장치(Arithmetic Logic Unit)는 두 숫자의 덧셈, 뺄셈 같은 산술연산OR, AND, NOT 같은 논리연산을 ... 참고 문헌[1] 차재복, “ALU Arithmetic Logic Unit, Arithmetic and Logic Operation Unit 산술 연산 장치”, 정보통신기술용어해설[2 ... 부가적으로, 비교 연산, 보수 연산, 시프트 연산 등도 수행한다.[1] 산술논리장치는 컴퓨터 중앙처리장치의 기본 설계 블록이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 컴퓨터의이해 중간과제 - 슈퍼컴퓨터, 메타버스, 반도체 기억장치
    bo_table=B02&wr_id=1915" http://kodaf.or.kr/bbs/board.php? ... 슈퍼컴퓨터1) 슈퍼컴퓨터는 일반 컴퓨터보다 대용량 연산을 빠르게 수행할 수 있는 컴퓨터를 말한다. ... idxno=26596 Hyperlink "http://kodaf.or.kr/bbs/board.php?
    방송통신대 | 6페이지 | 3,000원 | 등록일 2024.05.27
  • 실험21_De Morgan의 법칙_결과레포트
    첫째로, A와 B의 OR연산의 역은 A의 역과 B의 역의 AND연산과 동일하다. ... 21.4 De Morgan의 법칙1 (NORAND)두 번째로, A와 B의 AND연산의 역은 A의 역과 B의 역의 OR연산과 동일하다. ... 회로는 각각 ANDOR회로의 출력을 inverse 한 회로이다.따라서 NAND 회로는 모든 입력이 ‘1’일 때 출력이 ‘0’이고, 그 외의 모든 입력 조합에 대해서는 출력이 ‘1
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.15
  • 프로그래밍언어론2공통형 프로그래밍 언어의구성요소 중 데이터 연산 명령어 타입 5줄이내로 설명 BNF로 표현된 다음 여섯개의 구문을 이용하여 답하시오00
    기본적인 연산에는 덧셈, 뺄셈, 곱셈, 나눗셈 등이 있으며, 논리적 연산(AND, OR, NOT)도 포함됩니다. ... 프로그래밍 언어에서 데이터는 종종 다양한 타입으로 구분됩니다.(2) 연산연산은 데이터에 대해 수행되는 계산이나 조작을 의미합니다. ... 연산자는 프로그램의 로직을 정의하고, 데이터 변형, 비교, 결정을 가능하게 합니다. 프로그래밍 언어는 다양한 연산자를 제공하여 복잡한 계산을 수행할 수 있습니다.
    방송통신대 | 6페이지 | 6,000원 | 등록일 2024.09.11
  • u Processor 설계
    산술 연산은 덧셈, 뺼셈, 곱셈, 나눗셈의 4칙 연산을 의미하고, 논리 연산AND, OR, XOR, NOT 등의 연산을 말한다. ... 이번 설계 실습에서는 add, sub, AND, OR 연산을 다루게 된다.코드 및 설명출력 결과MUXMUX 란? ... Program Memory의 명령어 구조에 따라 직접 명령어를 2진수로 만들어서 add, sub, and, or연산을 해 봄으로써 Processor에 대한 기초를 다질 수 있는 설계
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 컴퓨터구조와 데이터의 표현과 컴퓨터 연산에 대하여 설명하시오. 컴퓨터시스템의 구성요소, 컴퓨터 역사와 분류, 정보의 표현과 저장 데이터의 표현과 컴퓨터 연산 보수의 개념, 진수 연산 문자데이터 대하여 설명하시오.
    ALU는 덧셈, 뺄셈, 곱셈, 나눗셈 등의 기본 산술 연산AND, OR, NOT 등의 논리 연산을 처리합니다.- 제어장치(CU): 모든 컴퓨터 구성 요소 간의 통신을 제어하고 명령어를 ... S., & 오스틴, T. (2012). 구조화된 컴퓨터 구조 (6판). 프레티스 홀.- 패터슨, D. A., & 헤네시, J. L. (2017). ... CPU는 연산장치(ALU)와 제어장치(CU)로 구성됩니다.- 연산장치(ALU): 산술 및 논리 연산을 수행하는 컴포넌트입니다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.04.11
  • 산술논리연산회로 실험보고서
    장치 : 산술 연산들(+,-, TIMES ,÷)을 수행.- 논리 연산 장치 : 논리 연산들(AND, OR, XOR, NOT등)을 수행- 쉬프트 레지스터 : 비트들을 좌측 혹은 우측으로 ... : 논리 연산들(AND, OR, XOR, NOT 등)을 수행쉬프트 레지스터 : 비트들을 좌측 혹은 우측으로 이동시키는 기능을 가진 레지스터보수기 : 2진 데이터를 2의 보수로 변환 ... 실험기자재 및 부품4.1 사용기기- 오실로스코프- 디지털 멀티미터- 함수발생기4.2 사용부품- AND 게이트- OR 게이트- XOR 게이트- 인버터- 전가산기5.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    다시 말하면 하드웨어를 묘사하기 위한 언어로 하드웨어를 구성할 시에 일일이 모든 gate(AND gate, OR gate, XOR gate, NAND gate)등을 그림으로 그리는 ... 단지 선을 연결하거나 andor등의 모듈로 나온 선을 잇는 것만 가능하다는 특징이 있다.Verilog에서 for문, if문의 사용법에 대하여 조사하시오.Verilog는 C언어와 ... s1, c_in); / and (c2, s1, c_in); / or (c_out, c2, c1);(3) behavioral modeling시뮬레이션을 위해 always, initial등의
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [건국대학교] 데이터베이스 시험정리
    =”Physics”)(instructor)-> select * from instructor where dept_name = “Physics”- 등호, 부등호 사용 가능, and or ... 카티션 곱, 재명명, 교집합, 자연 조인, 배정 연산6.1.1 기본 연산선택, 추출, 재명명 연산 -> 한 릴레이션에 대한 수행 (단항연산)합, 차, 카티션 곱 -> 한 쌍의 릴레이션에 ... 논리 연산을 통해서 유도해야함.
    리포트 | 34페이지 | 1,000원 | 등록일 2024.05.25
  • 기초전자회로실험 예비보고서 - 논리회로의 기초 및 응용
    논리게이트: 디지털 회로의 논리연산을 수행하는 디지털 소자로서 일반적으로 하나 이상의 입력 단자와 하나의 출력 단자로 구성되며 기본 게이트로 AND, OR, NOT, NAND, NOR ... : 둘 중 하나라도 참이면 참 Y=A+B=A or B4) AND: 둘 중 하나라도 거짓이면 거짓 Y=A*B=A and B5) NAND: not and)모두 참이면 거짓 Y=not ... (A*B)=A nand B6) NOR: not or)모두 거짓이면 참 Y=not(A+B)=A nor B
    리포트 | 3페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:32 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대