• 통큰쿠폰이벤트-통합
  • 통합검색(227)
  • 리포트(223)
  • 논문(2)
  • 시험자료(1)
  • 방송통신대(1)

"BCD-7 세그먼트 디코더" 검색결과 101-120 / 227건

  • Decoder, encoder와 multuplexer, demultiplexer 결과 report
    진리표의 모습을 확인하기 위하여 출력에 LED를 설치하고, 전원을 주어 측정하였다. 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 실험에서 입력에 대한 세그먼트 각각의 LED의 켜짐(출력)을 보고서 표시되는 값을 볼 수 있었다. 7447은 4비트 BCD 코드를 바꾸어 Seven Segment를 구동하기 위한 드라이버이다.DCBALTRBIBI ... 세븐세그먼트에서의 오차는 텀 프로젝트에서 쓰일 것이라 좀 더 관심 있게 실험을 하였는데, 저항을 7개를 연결하였는데, 서로 접지가 되다보니 오차가 발생하여 제대로 표시가 되지 않았었다
    리포트 | 9페이지 | 2,000원 | 등록일 2015.11.01
  • VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    BCD->7세그먼트 디코더, BCD->10진수 디코더 등으로 자주 쓰인다. ... 여기서 막대 모양의 LED 하나, 하나를 세그먼트(Segment)라고 하며, LED 가 7개 사용되므로 7-세그먼트라고 이름이 붙여졌다. ... 실생활에서는 키보드가 그 예이다(5) FND(Flexible Numeric Display)FND 또는 7-세그먼트 표시기 라고도한다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 디지털회로 실험 7-세그먼트 결과 레포트 (시뮬레이션)
    7-세그먼트 디코더실험결과1. ... 이 실험으로 BCD/7-segment디코더 드라이버에 대해 알 수 있었다. ... 두 번째 실험은 BCD/7-segment 디코더 드라이버를 연결 하고 7-segment LED를 연결해서 숫자를 확인하는 실험이었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.12.09
  • 디지털로직실험 3장 수체계
    .□ 모의실험용으로 결함을 만들어 놓은 회로의 고장 진단.● 사용 부품LED 4개7447A BCD/10진 디코더MAN72 7-세그먼트 디스플레이4조 DIP스위치저항: 330Ω 11개 ... => 인터넷을 조사해본 결과 7447디코더BCD수를 7-세그먼트에 표현할 때 사용자가 쉽게 표현하기 위해 만든 논리회로를 칩 형태로 만들어 놓은 것이라고 한다.위의 논리 회로표를 ... 오실로스코프 사용실험직류 측정사인파 측정삼각파 측정고찰사항=> 이번 실험은 7447디코더를 사용하여 BCD수를 디코딩하여 7-세그먼트에 표시하는 회로를 구성하여 하는 실험 이였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2013.06.22
  • 7세그먼트 레포트
    코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.3) 7-세그먼트의 표시상태애노드 공통형 BCD-7 ... 7-세그먼트 LED 디코더와 표시회로실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다.실험이론1) 디코더 원리7-세그먼트 표시기라고 하는 소자는 ... 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2) 7세그먼트 LED 디코더그림에서 입력단에 BCD
    리포트 | 5페이지 | 1,000원 | 등록일 2013.03.26
  • 디지털실험 결과보고서 실험 4. 엔코더와 디코더 회로
    (책에는 f-a이런식으로 이어져 있다.) 7세그먼트의 고장을 방지하기 위한 저항 연결이 필요하다. 7세그먼트는 위의 LED부터 시계 방향으로 차례대로 a~f이고 가운데 한줄이 g이다.그 ... 실험 1번에서 2개의 입력을 가지는 디코더를 설계해서 디코더 동작 특성에 대해 알아보았고, 실험 2번에서는 7447소자를 이용하여 7세그먼트에 출력이 표시되는 것을 관찰했다. 7세그먼트 ... 입력 ABCD를 2진수로 생각하고 그에 맞는 수 0부터 9까지(0000~1001)를 넣어주면 7세그먼트에 출력이 나온다.이번 실험의 인코더와 디코더의 기능을 익히고 7세그먼트 숫자표시기의
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 실험8. 인코더와 디코더 회로 예비
    BCD-7 세그먼트 디코더BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a, b, c, d, e, f, g)은 아래의 ... BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 10진 숫자를 받아들이고 10진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한 ... 표와 같고 이는 7-세그먼트의 입력이 된다. 7개의 출력에 대한 논리함수를 구해보면 다음과 같은 부울함수로 표시된다.BCD-7 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • 인코더 및 인코더
    검토 및 문제점 분석이번 실험의 목적은 인코더와 디코더에 관해 실험해보고 디코더를 이용하여 BCD 7세그먼트를 만들어보는 실험이었다.디코더는 입력 n개에 대해2 ^{n}개의 출력을 ... 실험 목적▶ Encoder 에 대한 특성이해▶ Decoder 에 대한 특성이해▶ Encoder 에 대한 동작원리 이해▶ Decoder 에 대한 동작원리 이해▶ BCD 7-Segment ... 이것을 이용하면 여러 회로에 응용할수 있게 된다.7447 7세그먼트 시뮬레이션을 보면 책에있는 회로와는 좀 다르게 되어있는데, 내가쓰는 프로그램이 책과는 다르게 간단하게 구성되어 있기
    리포트 | 16페이지 | 1,500원 | 등록일 2013.10.15
  • 실험8. 인코더와 디코더 회로 결과
    BCD-7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 10진 숫자를 받아들이고 10진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.또한 ... BCD-7 세그먼트 디코더BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a, b, c, d, e, f, g)은 아래의 ... 표와 같고 이는 7-세그먼트의 입력이 된다. 7개의 출력에 대한 논리함수를 구해보면 다음과 같은 부울함수로 표시된다.BCD-7 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에
    리포트 | 6페이지 | 1,000원 | 등록일 2013.02.02
  • 엔코더와 디코더 회로 결과보고서
    ,D3)A=0 B=0입력시A=1 B=0입력시결과 해석실험1번의 경우 디코더 회로의 설계를 나타낸 것으로 다중-입력, 다중-출력의 논리회로이다. ... 회로를 구성하여 7-segment LED를 구동 확인하고 0-9숫자의 논리식을 표시하라.입력값실험결과 사진(S,C)십진수 1 입력시십진수 7입력시결과 해석실험2번의 경우 7segment ... -0.125V-0.07V110.02V0.02V0.02V5.04V실험 (2)다음은 7-segment의 진리치표이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • [컴퓨터 전공][과목 : 디지털 논리 회로 설계 및 실습][내용 : 디지털 시계]
    아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.역할 분담1. ... 세그먼트 (FND) 10개DM74LS47 (BCD to 7 세그먼트 디코더) 10개DM74LS90 (Binary Counters) 10개DM74LS04 (NOT 게이트) 1개74LS76A
    리포트 | 11페이지 | 1,500원 | 등록일 2013.06.11
  • 조합논리회로 1 부호기 예비보고서
    ■십진 수치의 표시-십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있습니다. ... 세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고, 위쪽 사각형의 아래 획과 아래쪽 사각형의 ... 보통 복호기는 N개의 입력에 대하여 출력은2 ^{N}개 까지 있을 수 있고, BCD-to-decimal 복호기는 4개의 입력을 사용하여 16가지의 출력 중에서 10가지만 사용해서 BCD
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 스톱워치 구현 보고서
    위한 mod-6 카운터 회로를 설계한다.③ 주어진 회로 구성도와 같이 두 회로를 직렬연결한 후 BCD-7SEG 디코더를 거쳐 FND에 연결하는 회로를 구성한다.* 회로 구성도④ 완성된 ... 실험내용1) 실험부품구분부품 번호수량비고FND5101CSR (캐소드 타입)1적색ICSN74LS0012-NANDICSN74LS0812-ANDICSN74LS482BCD-7SEG 디코더ICSN74LS764JK ... 하지만 무시할 수 있을 정도의 짧은 시간이므로 6진 카운터로 동작한다.4) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 디지털공학 실험 디지털시계보고서
    그림 9.1에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 분이나 초를 나타내는 6진 또는 10진 카운터들은 5장에서 학습했던 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 쉽게 표시할 수 있다. ... 설계하는 디코더의 기능은 2진수를 입력받아 BCD로 변환하여 출력하는 디코더이며, 설계할 수 있을 것이다.그림 9.4에 나타낸 디코더는 12진 카운터의 값을 입력으로 받아 직접 7세그먼트
    리포트 | 11페이지 | 1,000원 | 등록일 2012.11.28
  • 디지털회로실험 09장. 디코더
    이와 반대로 해당 출력이 1이 되는 BCD-10진 디코더 IC로서는 74LS45가 있다. 74LS45S는 마이크로 컴퓨터 시스템에서 어드레스 디코더 등으로 사용된다. ... 동작원리와 인에이블 입력의 용도를 설명하라.실험데이터표 9-3 BCD-10진 디코더 회로입 력켜진 LED 번호D C B A0 0 0 000 0 0 110 0 1 020 0 1 130 ... 실험 3, 실험 5, 실험 7의 결과를 기술하라.3.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.04.07
  • 조합논리회로 실험 이론정리(10주차)
    세그먼트 디코더BCD코드를 입력하여 각각에 대응하는 숫자를 표시하는 7-세그먼트 표시장치로 출력하는 디코더이다. 다음 그림이 7-세그먼트 디코더이다. ... 진리표를 통한 카르노 맵을 구성하게 되면 다음과 같다.마지막으로 BCD – 7–세그먼트 디코더이다. ... 우리가 실제 사용하는 것은 대부분이 캐소드로 이루이 진 것이 많다. 7-세그먼트에서 1을 표시하기 위해서는 b, c에 불이 들어와야 하고 2의 경우는 a, b, g, e, d에 불이
    리포트 | 10페이지 | 1,000원 | 등록일 2013.06.09
  • [디지털시계] ★디지털시계 제작★목적,부품★회로구현방법★
    (4) 시계 초 부분 7 세그먼트 세그먼트 디코더 BCD 디코더-10- (5) 시계 분 부분 버퍼-11- (6) 시계 시 부분-12- (7) 요일 ,AM/PM 3to8 디코더 카운터 ... (3) 시계 초 부분 세그먼트 BCD 디코더 BCD 카운터-17- (4) 시계 분 부분 세그먼트 BCD 디코더 BCD 카운터(5) 시계 시 부분 -18- 세그먼트 BCD 디코더 BCD ... 회로 구현 및 방법 ( 이전 ) (1) 전체회로도 요일 ,AM/PM 시간 분 초 스위치-7- (2) 클럭 부분-8- (3) 스위치 부분 3to8 디코더 2to4 디코더 스위치-9-
    리포트 | 23페이지 | 1,500원 | 등록일 2011.12.18
  • [논리회로] 디지털시계 설계 텀프로젝트 최종보고서
    그림 14-1에 나타낸 디지털시계의 전체 블럭도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(IC 7447), 12시간 표시기 디코더, modulo-N ... 분이나 초를 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(IC 7447)를 이용하여 값을 쉽게 표시할 수 있다. ... 설계시계를 만들기 위해서는 설계한 카운터들의 값을 눈으로 볼 수 있도록 7세그먼트를 사용하여 숫자를 표시해야 한다.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.02.06
  • 7-segment를 이용한 숫자 출력 프로젝트 최종 보고서
    여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. ... 처음 우리 조에서 선택한 방법은 BCD 10진 카운터를 이용하는 방법이었으나, 납땜을 하는 과정에서 기판이 협소하여 스위치 방식으로 동작하는 방향으로 전환 했다.2.2 7-Segment ... 이 디코더는 간단한 디지털 논리회로로 쉽게 구성할 수 있다.1.3 사용 IC 소자IC 7404INVERTERIC 74082-IN-ANDIC 74113-IN-ANDIC 74214-IN-ANDIC
    리포트 | 12페이지 | 2,000원 | 등록일 2013.12.22
  • 가산기와디코더
    입력코드에 대해서는 M개의 출력 중 하나의 출력 만이 동적 HIGH(또는 LOW)가 되고 , 다른 나머지 출력은 LOW(또는 HIGH)가 된다.2) BCD-to-7세그먼트 디코더이 ... 디코더BCD코드를 입력하여 각각의 해당하는 10진수를 7세그먼트 표시장치로 출력하는 4개의 입력과 7개의 출력을 가진 디코더이다.5. ... 반가산기는 AND와 Exclusive-OR게이트로 구성되어 2의보수 체계에 가산을 할수있게 도움되는 디지털 회로였다.실험(3)에서 7세그먼트 표시기는 막대모양의 LED 7개를 8자
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대