• 통큰쿠폰이벤트-통합
  • 통합검색(227)
  • 리포트(223)
  • 논문(2)
  • 시험자료(1)
  • 방송통신대(1)

"BCD-7 세그먼트 디코더" 검색결과 141-160 / 227건

  • 디지털 시계 조립
    분이나 초를 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 쉽게 표시할 수 있다. ... 그리고 그 디코더는 과 과 같이 설계할 수 있다.에 나타낸 디코더는 12진 카운터의 값을 입력으로 받아 직접 7세그먼트 표시기를 구동할 수 있는 출력을 만들어내도록 설계하는 경우이다.이 ... 시간을 표시하기 위한 디코더 설계시계를 만들기 위해서는 앞에서 설계한 카운터들의 값을 눈으로 볼 수 있도록 7세그먼트를 사용하여 숫자를 표시해야 한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2009.12.18
  • 인코더 디코더 실험 결과보고서
    실험 2번의 경우 실험 1번에서 구현해 놓은 인코더의 출력값 A, B를 BCD/7-segment 디코더/드라이버 (74LS47)의 입력에 연결해준 뒤 BCD/7-segment 디코더 ... 실험 재료(1) 사용기기- 전원공급기(2) 사용부품- 전선- 브레드보드- 7 segment 디코더/드라이버- LED(다이오드), 7세그먼트 LED- OR 게이트, AND 게이트, NOT ... 실험 목표우선순위 인코더 작동법을 알아보고, 인코더의 출력을 받아 BCD/7-segment 디코더/드라이버 (74LS47)의 입력에 연결해 그 결과를 보고 디코더 작동법도 이해한다.2
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.15
  • Encoder와 Decoder 결과보고서
    BCD to 7-Segment 디코더에 대해 설명하라.BCD-to-7세그먼트 디코더는 아래의 그림에 나타낸 것과 같이 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 ... 이와같은 BCD-to-7세그먼트 디코더 기능을 수행하는 TTL에는 여러 종류가 있는데 그중 7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 ... BCD -7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진 숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • [디지털실험][설계과목]디지털 시계 만들기
    에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더와 7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다. ... 7세그먼트 표시기를 구동할 수 있는 출력을 만들어내도록 설계하는 경우이다.
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    하나의 4-10-8 FND 코드변환기☞ 7 세그먼트 표시장치 FND의 내부애노드 공통(C-A) 캐소드 공통(C-C)☞ 우리가 원하는 것은 a, b, c, d, e, f, g 세그먼트가 ... 10진수가 어느 값일 때불이 켜지는가 이므로 표를 참조하여 변환기를 설계한다.△ FND a, d에 대한 입력조건10진수입력(BCD 코드)출력(4-Segment)A B C Da b ... -4 디코더2-to-4 디코더의 또 다른 형태로 아래 그림2와 같은 디코더가 있다.그림2의 디코더에 대한 진리표와 그림1의 디코더에 대한 진리표를 비교해 볼 때 출력 값이 0은 1로
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 6장(7-세그먼트디코더) 예비보고서
    BCD 코드는 10진수의 각 숫자를 대응되는 2진수로 표현하는 코드입니다.BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 ... 일반적으로 사용되는 저항값은 수백 옴(ohm, Ω) 정도입니다.(3) BCD-to-7 세그먼트 디코더BCD(Binary Coded Decimal) 코드수나 문자나 단어 또는 어떤 정보 ... 목적1) 7-세그먼트의 구조 및 동작 개념을 이해한다.2) 7-세그먼트 구동 디코더의 구조 및 사용 방법을 확인한다.3) 응용 회로를 구성하고 동작을 확인한다.4) 전원 공급기, 오실로슼코프
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.07
  • 실험1 프로젝트 전화번호입력 (2013년도 1학기)
    4개디코더, 세그먼트로 구성되었다.마지막 ‘10초 다운카운터’인데,9부터 0까지 NE555를 이용한 펄스발생기의 초당 1Hz의 계산에 맞게카운팅이 된다.전체적인 상황을 LED상으로 ... 값을 입력으로 받아서 7-Segment표시를 위해 변환하기 위해 쓰여 졌다.③ Resistor- 7segment LED(가) LED의 순방향전압강하가 1.7V이다. ... 컨트롤 할 수 있다.② 74477447에 입력되면 7-segment의 입력 값으로 변환한다.③ 7-segmentBCD를 숫자로 표시해준다.
    리포트 | 20페이지 | 2,000원 | 등록일 2014.07.08
  • 기초전자회로실험 예비레포트 7세그먼트 7-Segment
    디코더를 이용하여 7-세그먼트에 디스플레이하는 회로 구성2. 실험 준비물? 전원공급기 - ED-330? Digital multimeter - DM411B? ... 1 0001 2 0010 3 0011 4 0100 50101 6 0111 7 1000 8 1001 97-Segment7세그먼트 발광 다이오드란? ... IC : 7447, LED, MAN-72A(7-Segment), 330, 1k3.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.09.18
  • BCD 7-Segment Decoder 설계제안서
    명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7-Segment 소자라고 이름이 붙여졌다.7-Segment는 2가지의 Type( ... 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • Encoder, Decoder, Mux 예비레포트
    *************00010010000001000110000100010000010000101001000001100100000011110000000※ BCD-to-7 Segment ... BCD 코드를 7-segment 숫자표시기로 나타내는 등 여러가지 경우가 있다.※ 3 by 8 Decoder총 3개의 입력과 그것에 대응하는 8개의 출력변수를 갖는다.InputsOutputsA2A1A0D7D6D5D4D3D2D1D00000 ... 엄밀하게는 4비트 10진 코드를 7비트 코드로 변환하는 코드 컨버터이다.- 진리표 및 논리식(K-map 이용)10진숫자BCD012345678900000001001000110100010101100111100010012
    리포트 | 14페이지 | 1,000원 | 등록일 2010.10.16
  • [디지털 공학 실습] TTL IC를 이용한 3초과 to BCD 가감산기 설계
    특이사항사용 소자종 류개 수비 고I C74834개전가산기74863개XOR74002개NAND GATE74471개7세그먼트 디코더총 계10개.저 항1KΩ8개.100Ω9개.330Ω7개.총 ... toSegmentIC 74477 Segment 디코더동 작 설 명TTL IC 7483에서 출력된 BCD 코드를 7447을 이용하여 디코딩, 과전류 흐름 방지 저항 330블 록기 능회 ... 블록 다이어그램SW1BCD to Ex-3IC 7483Display14 LED가/감산기IC 7483BIN toBCDIC 7483BCD toSegmentIC 7447Display37SegmentSW2BCD
    리포트 | 9페이지 | 1,000원 | 등록일 2010.06.02
  • 인코더와 디코더 실험예비보고서
    예비보고서(1) BCD 코드에서 7세그먼트의 각 요소를 on 시키는 Boole 논리함수[식 (9)와 같은 형태]를 모두 쓰라.(2) BCD/7-segment 디코더/드라이버 (74LS47 ... 예비 보고서인코더와 디코더1. 실험 제목-인코더와 디코더2. ... )를 인터넷에서 찾아 논리 심볼과 pin 구성도를 그려라.①Logic Symbol.② pin 구성도.※ RBI 포트가 Low인 경우 0에 해당하는 BCD 코드가 입력되었을 때, 7-
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.15
  • 인코더, 디코더
    CPU에서 계산이 수행된 연후 BCD코드는 7-세그먼트 디스플레이에 적합한 7비트 코드로 변환된다.(7세그먼트 디코더)▣실험 부품 및 재료구분품명규격수량측정기 및 도구직류 안정화 전원장치DC ... REPORT인코더 및 디코더결과보고서▣실험제목- 인코더 및 디코더▣실험목적- 인코더 및 디코더 회로를 실험으로 입증하고 이해한다. ... 변환(decimal-to-BCD인코더) CPU(중앙처리장치)에 들어간다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.07.01
  • 디지털시계 설계와 분석
    이 방식대로 분에서도 설계를 할 수 있다.- 7세그먼트BCD-to-7세그먼트 디코더카운터 연결이 완성되었으면 카운터들의 값을 볼 수 있도록 세그먼트와 연결을 해야 할 것이다. ... 디지털 시계를 설계하기 위해서 BCD-7-Segment decoder, 12시간 표시기 디코더, modulo-N 카운터 등이 필요하다. modulo-N 카운터는 6진 카운터, 10진 ... 이 때 BCD 디코더를 이용하면 편하다.
    리포트 | 8페이지 | 2,000원 | 등록일 2009.12.05 | 수정일 2022.12.12
  • 디지털 시계 설계
    to 7-Segment Decoder12시간 표시기 디코더BCD to 7-Segment DecoderabcdefgabcdefgF G H ID C B AED C B A0V12진 카운터D ... to 7-Segment Decoder12시간 표시기 디코더BCD to 7-Segment DecoderabcdefgabcdefgF G H ID C B AED C B A0V12진 카운터D ... 분의 일defgD C B AD C B A0VCLKD C B AD C B ABCD to 7-Segment Decoder12시간 표시기 디코더BCD to 7-Segment DecoderabcdefgabcdefgF
    리포트 | 36페이지 | 5,000원 | 등록일 2010.09.07
  • 디지털논리회로실습 - 제 7장 디코더 인코더
    세그먼트의 입력 단자를 구분하기 위하여, 7-세그먼트 뒷면을 나타내었다. ... [그림 B]이러한 LED는 여러 개 모아서 어떤 문자나 숫자를 표시할 수 있다.대표적인 것이 7-세그먼트(Segment)이다. 7-세그먼트 연결 방법에는 두 가지가 있음.애노드를 공통으로 ... [그림 C]는 7-세그먼트의 구성과 공통 애노드, 공통 캐소드 형을 보여줌.
    리포트 | 23페이지 | 2,000원 | 등록일 2008.12.08
  • 10진 BCD부호 변환기 세미나
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다BCD-7 세그먼트 디코더BCD-7 세그먼트 ... 위한 디코더이며, 7448, 7449 는 캐소드 공통형 7세그먼트 표시기를 위한 디코더이다.BCD-to-7 세그먼트7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 ... + I5 + I7 + I9부호 변환기의 구성BCD 부호 변환기 피스파이스 회로도BCD 부호 변환기 피스파이스 결과도BCD-to-7 세그먼트 디코더 기능을 수행하는 TTL● TTL IC란
    리포트 | 16페이지 | 1,500원 | 등록일 2008.09.10
  • [예비레포트] 10진 카운터 제작
    빛을 발광하게 된다.7세그먼트의 10진수 표시BCD to 7세그먼트 디코더의 진리표[그림 3-1] 7세그먼트7세그먼트에 사용되는 LED는 저항을 직렬로 연결한 후 전류를 흘러주면 발광하는 ... 이와같은 동작을 하는것이 BCD to 7세그먼트 디코더 이다. ... [그림 3-3] K형 7세그먼트 디코더[그림 3-3]은 K형 7세그먼트 디코더의 동작을 나타낸 그림으로서 입력되는 2진 정보를 해독하여 해당 7세그먼트 LED에 전류를 흘려준다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.08
  • 논리 회로 설계 및 실험 디지털 시계 기말과제
    표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 등을 이용한 Digital WatchWhy? ... (BCD-to-7-Segment Decoder)A main function of the display (Display에서의 핵심 기능)Time Set (시간설정)A circuit ... 회로도*회로도 과정인 카르노 맵은 생략하였음GAL Chip Programming (BCD-to-7-Segment Decoder)각각의 초단위, 분단위, 시단위 마다 최소의 코딩으로
    리포트 | 15페이지 | 1,000원 | 등록일 2010.10.19
  • 논리회로실험 7 세그먼트 디코더
    보편적으로 많이 사용되는 애노드 공통형 BCD-7 세그먼트 LED 디코더 74LS47의 진리표는 표 10-1과 같다. ... ◈제목- 디코더/인코더 및 다중화기/역다중화기 : 7세그먼트 디코더◈목적(1) 디코더 및 인코더의 원리 및 구성 방법을 익힌다.(2) 다중화기 및 역다중화기의 개요, 용도, 구성 바업을 ... 숫자를 오른쪽의 표 10-2와 같이 나타내야 한다. 7 세그먼트 LED 구동용 16진 표시 디코더 IC로서 M54405(C.A.), F9368(C.C.)
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대