• 통큰쿠폰이벤트-통합
  • 통합검색(574)
  • 리포트(532)
  • 시험자료(19)
  • 자기소개서(13)
  • 방송통신대(3)
  • 논문(2)
  • 이력서(2)
  • ppt테마(2)
  • 서식(1)

"DE2보드" 검색결과 101-120 / 574건

  • VHDL을 이용한 digital watch 설계
    Unuse Pin알테라 DE2보드에서 우리는 현재 모든 핀을 사용하지 않는다. ... 실습명 : Digital Watch2. 실습 목표? 계층구조를 사용하여 디지털시계를 VHDL로 구현하고 DE2 보드동작을 확인한다.? ... 계층구조를 사용하여 디지털시계를 VHDL로 구현하고 DE2 보드동작을 확인하였으나있었다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 논리회로실험 12주차 결과보고서
    ALU의 DE2-115보드를 통한 LED의 변화INPUTSELECTIONOUTPUTABa[5]a[4]a[3]a[2]a[1]a[0]b[5]b[4]b[3]b[2]b[1]b[0]sel1sel0f ... ALU의 DE2-115보드를 통한 LED의 변화INPUTSELECTIONOUTPUTABa[5]a[4]a[3]a[2]a[1]a[0]b[5]b[4]b[3]b[2]b[1]b[0]sel2sel1sel0f ... ALU의 DE2-115보드를 통한 LED의 변화INPUTSELECTIONOUTPUTABa[5]a[4]a[3]a[2]a[1]a[0]b[5]b[4]b[3]b[2]b[1]b[0]sel2sel1sel0f
    리포트 | 7페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] Half Adder / Full Adder
    DE2 보드 사진스위치 00 입력스위치 01 입력스위치 10 입력스위치 11 입력실험2? ... DE2 보드 사진스위치 000 입력스위치 001 입력스위치 010 입력스위치 011 입력스위치 100 입력스위치 101 입력스위치 110 입력스위치 111 입력
    리포트 | 3페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    -70 보드에서의 동작DE2-70표현내용HEX0-3입력한 금액과 잔액HEX4사려는 상품의 개수HEX5-7사려는 상품의 가격SW[0-3]동전의 종류(50,100,500,1000)SW[ ... 없앴습니다.(4) 주요 Logic로 직구현 방식12bit 가산기carry Look ahead12bit 감산기carry Look ahead12bit 곱셈기booth multiplier(5)DE ... m[0]));twocomlement tow(.in(to_mux2_m[0]), .out(to_mux2_m[1]));mux2 mu0(.from_coin(to_mux2_c), .from_good
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • 논리회로실험 15주차 결과보고서
    보드 구동 간에 SW0번 스위치를 위로 올리면 보드(DE2-115)에 나타나던 숫자의 나타내어지는 속도가 빨라진다. ... 이후 동작에서 KEY3번을 누르지 아니할 경우 99까지 증가 후 다시 00으로 돌아간다.Programming이 완료되면 보드(DE2-115) Hex1에는 십의자리수가 Hex0에는 일의자리수가 ... 또한 보드 구동 간에 KEY3번을 누를 시 증가하던 숫자가 초기화 되어 00부터 다시 증가하게 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험12) Verilog HDL을 이용한 기본회로 설계
    DE2 보드 사진bcd_in= 4'b0000bcd_in= 4'b0001bcd_in= 4'b0010bcd_in= 4'b0011bcd_in= 4'b0100bcd_in= 4'b0101bcd_in ... 《 실험12 결과 보고서 》조제출일학과/학년학번이름실험 1) 7-세그먼트 디코더의 설계(1) Verilog HDL 코딩(2) 시뮬레이션실험 2) DE2 보드로의 다운로드 및 동작 확인 ... 시뮬레이션 결과(2) 동기식으로 set 또는 reset이 가능한 플립플롭으로 바꾸고, 동작을 확인하라.? 코드? 시뮬레이션 결과
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험14) 주파수 분주기 설계
    테스트벤치 시뮬레이션《 실험14 결과 보고서 》조제출일학과/학년학번이름실험 (1) 27MHz -> 1Hz로 주파수를 분주하는 코드 및 DE2 보드 구현? 코드(선언부)? ... 《 실험14 예비 보고서 》조제출일학과/학년학번이름2) 과 의 동작을 설명하고 시뮬레이션 결과를 나타내라.① 1/2 ^{4} 분주기? 코드?
    리포트 | 4페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] 기말 프로젝트(Final Project) 스탑워치(Stop Watch) 제작
    DE2보드의 27Mhz clock 신호를 1Mhz로 분주해주는 코드이다. ... 설계 specification 및 제한사항1) Finite State Machine 사용2) Clock은 DE2보드 내장된 50Mhz 또는 27Mhz 사용3) 디지털시계는 시, 분, ... 입, 출력 모두 DE2 보드의 핀 연결을 해주어서 옆에 네모박스에 PIN 이름이붙어있다.Ⅲ. 설계 과정 - (2) Simulation1) mode_gen Module?
    리포트 | 22페이지 | 5,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • (12년상합격)LG전자
    당시 저와 제 동기가 계획했던 프로젝트는 VerilogHDL 언어를 사용하여 DE2-70 보드에서 작동하는 ‘곱셈기능이 추가된 자판기’ 시스템을 설계하는 것이었습니다.그런데 프로젝트를 ... 집에 가지 않고 코딩한 결과 보드에 다운로드까지 성공할 수 있었습니다. ... 그렇게 하루하루 게을러지고 학업과는 멀어져 갔습니다.[2천원이 이뤄낸 2천만원의 교훈]어느덧 기말 고사 기간이 왔고 문득 그동안 준비하지 않았음에 후회를 하며 벼락치기공부를 했지만,
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.10.21
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    Make sure to include in your project the required pin assignments for the DE2-series board.4) Recompile ... Change the inputs and outputs of your code to use switchesSW _{3-0} on the DE2-series board to represent ... -series board.
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • Encoder .Decoder. 7-segment 결과레포트
    logic에서 symbol을 추가한다. ( Input : i3, i2, i1, i0 , Output : d1, d0 )2) 4 x 2 encoder 회로를 DE2 - 115보드 검증 ... i0 , Output : d3, d2, d1, d0 )2) 2 x 4 decoder 회로를 DE2 - 115보드 검증 시 , 입출력 포트는 다음과 같다.Signal NameNodeFPGA ... 그리고 de2-115 보드를 사용하여 결과 값을 확인하고 특성과 원리를 이해하는 과정이었다.Input에서 확인되는 입력 2ⁿ 에 따른 출력 n비트로 표현된다.예를 들어, Input
    리포트 | 14페이지 | 2,000원 | 등록일 2014.01.06
  • 디지털논리회로실험(Verilog HDL) - Characters and Displays
    display on the DE2 board. ... After making the required DE2 board pin assignments, compile the project.4. ... Include the required pin assignments for the DE2 board for all switches, LEDs, and 7-segment displays
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털논리회로실험(Verilog HDL) - Switches, Lights, Multiplexors
    Use switchSW _{17} on the DE2 board as the s input, switchesSW _{7-0} as the x input andSW _{15-8} as ... 0}3) Include in your project the required pin assignments for the DE2 board, Compile the project.4) Download ... board.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • d-day 계산기 설계
    birth_gen & prebirth_geninput_data : birth_gen, prebirth_gen symbol 에 data를 입력해 준다. in_key 값이 1 일 경우에 DE2 ... 해준다. left_sw, right_sw 둘 중 하나의 입력만 활성화 되어야 shifting 동작을 하며 그렇지 않은 경우는 아무런 동작을 하지 않는다.clock_divider : DE2 ... 기능은 cal_dec symbol 과 모두 같으며 calc 이라는 입력 값이 있어 만일 사용자가 d-day 계산 모드를 한다면 7-segment 가 모두 꺼지게 만들었다.KEY 설정DE2
    리포트 | 17페이지 | 2,500원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 논리회로실험) Counter/ Timer 결과레포트
    successful- DE2-115 에서의 결과를 나타내고 있다. ... 두 번째 과정에서는 Up-down counter를 구현하고 첫 번째 과정과 동일하게 DE2 - 115에서와 Modelsim 에서의 결과 값을 확인한다.1 . ... .* 본 실험에서는 첫 번째 과정에서 Up-counter의 회로를 Quartus II를 이용하여 구현하고 DE2 - 115와 Modelsim의 파형을 확인한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • VHDL을 이용한 세계시계 구현
    현재시간 표시o 6개의 7-segment이용 첫 두자리는 시, 다음 두자리는 분, 다음 두자리는 초를 나타낸다.2. ... segment3, segment4를 통해 출력을 하게 된다.이는 최종적으로 8개의 7-segment와 한 개의 Green LED에 출력된다.2. ... Input : [mode], [set, start, stop], [reset]에 사용하는 push button 3개와 50MHz 클럭을 100Hz로 분주하여 사용한다.2.
    리포트 | 16페이지 | 4,000원 | 등록일 2012.05.18
  • 파이프라인을 이용한 멀티플라이어입니다
    de-2 board를 이용한 리플캐리입니다 과제 제출용이며 확실히 돌아갑니다^^제출했던 레포트와 모델심 파일이 있습니다
    리포트 | 10페이지 | 2,000원 | 등록일 2011.06.18
  • VHDL을 이용하여 다양한 state machine 설계
    실습결과1) BCD의 DE2보드 동작확인핀설정은 다음과있다. ... SW0은 리셋이고 Key3이 CLK , LEDR3~LEDR0이 2진수의 각 자리를 뜻한다.2) Gray의 DE2보드 동작확인핀설정은 다음과 같다. ... 그레이코드의 특징인 1비트씩 변하는 모습을 확인할수있다.3) Dual Counter의 DE2보드 동작확인Dual Counter은 동작을 좀 더 시각적으로 확인해보기위하여 1초발생기를
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 가산기(Adder)
    Adder, Full Adder, Digit Adder, 2 Digit Adder를 구성하여, 반가산기, 전가산기, 디지트 가산기의 동작을 확인하고, DE2 보드 상에서 동작을 확인한다 ... FPGA보드에 구현한 결과도 시뮬레이션 결과가 이상 없었기 때문에 원하는 결과 값을 얻을 수 있었다.그리고 보드에 소켓을 이용하여 실제회로를 구성하고 그 위에 IC칩을 끼워 넣어 실험을 ... FPGA보드에 구현한 결과도 시뮬레이션 결과가 이상 없었기 때문에 원하는 결과 값을 얻을 수 있었다.그리고 실제회로를 구성하기 위해 실험 3에서 쓴 보드를 조금 수정하여 사용하였다.
    리포트 | 32페이지 | 3,000원 | 등록일 2010.10.16
  • 단국대학교 De Morgan의 법칙 결과레포트
    Board▣ 실험 방법1. ... NOT 회로 실험입 력출 력단자 1 전압 [V]단자 2 전압 [V]04.4+50.15표 21.5 De Morgan의 법칙(1)의 실험입 력출 력A [V]B [V]Y1 [V]Y2 [ ... NOT 게이트를 이용해서 0V, 5V를 입력하여 출력값을 확인한다.2.
    리포트 | 2페이지 | 1,500원 | 등록일 2019.05.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:04 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대