• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(351)
  • 리포트(322)
  • 자기소개서(10)
  • 시험자료(10)
  • 방송통신대(4)
  • 논문(3)
  • 이력서(2)

"Dot Matrix" 검색결과 101-120 / 351건

  • [컴퓨터의이해] 1) 컴퓨터의 입력장치, 출력장치, 중앙처리장치, 기억장치 설명 2) 2종류 이상의 2차원 바코드에 대해 설명하고, 2차원바코드의 사용 사례, QR코드
    .- 문자 프린터는 한 번에 한 문자씩 인쇄하는 장치로 도트 매트릭스(dot matrix) 방식과 볼(ball) 방식이 있으며 비교적 저속의 인쇄 장치로서 출력량이 적은 경우에 많이 ... 1989년 미국 International Data Matrix사의 사장인 Dennis Priddy에 의해 개발된 매트릭스형 코드이다. ... 열에 들어가는 심볼 문자의 개수를 지정할 수 있으므로 인쇄자는 심볼을 인쇄하는 공간의 상황에 따라 심볼의 세로 대 가로 비율을 조절할 수 있다.(2) Data MatrixData Matrix
    방송통신대 | 15페이지 | 5,000원 | 등록일 2018.03.30
  • [ Linear Algebra / 선형대수 ] Gilbert Strang 4th E. CH4.2 Projections에 대한 시험대비 완벽정리
    이것은 이다.이것은 a에 perpendicular하다.b-p가 a에 perpendicular하므로 이것들의 dot product는 0이다.aTb는 a∙b와 같다. matrixdot ... 그러면 P를 알게 될 것이다.이 세 단계로 projection matrix를 알아낸다. find , fine p, fine Pfigure 4.5에서 dotted line e=b-p이고 ... P는 m by m matrix이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2016.01.27 | 수정일 2023.04.19
  • 서강대학교 디지털논리회로실험 텀프로젝트
    이후 고객이 마실 커피를 선택하면 그 커피의 종류를 dot-matrix에 display하였다. ... 그리고 dotcom[0..7]dotcom[8..13], dotdata[9..0]은 모두 커피의 선택에 따른 dot-matrix로의 커피종류 display와 관련이 있다.
    리포트 | 36페이지 | 3,500원 | 등록일 2014.01.02
  • 자동제어 크레인 설계보고서
    ``+`B`u#y``=C`x``+D`ux`=`LEFT [ ` {matrix{dot{y _{1}} `&y _{1} `&dot{y _{2}} `&y _{2} `&` dot{theta _ ... x _{G} `&=&`h/2 CDOT sin theta _{1}#y _{G} `&=&`h/2 CDOT cos theta _{1} `} RIGHT . `,``` (2)LEFT { {matrix ... 표시한 것과 같이 크레인 구조물의 무게중심좌표를(x _{G} `,````y _{G} `), 컨테이너의 무게중심좌표를(x _{G1} `,````y _{G1} `)라고 하면LEFT { matrix
    리포트 | 18페이지 | 2,500원 | 등록일 2015.07.15 | 수정일 2015.07.16
  • [ Linear Algebra / 선형대수 ] Gilbert Strang 4th E. CH4. Orthogonality에 대한 4장 전체 시험대비 완벽정리
    이것은 이다.이것은 a에 perpendicular하다.b-p가 a에 perpendicular하므로 이것들의 dot product는 0이다.aTb는 a∙b와 같다. matrixdot ... 그러면 P를 알게 될 것이다.이 세 단계로 projection matrix를 알아낸다. find , fine p, fine Pfigure 4.5에서 dotted line e=b-p이고 ... (orthonormal matrix가 아니라 orthogonal matrix)qTq의 성질에 따라, 이 orthonormal한 Q의하지만 Q가 square matrix가 아닐지라도
    리포트 | 20페이지 | 1,500원 | 등록일 2016.01.28 | 수정일 2023.04.19
  • logical circuit 을 이용한 신호등 구현
    관리자 모드 입력 회로구현내용 3- 방향 표시 FPGA 에 있는 신호등 모델로는 좌회전 우회전의 방향을 정확히 나타 낼 수 없기 때문에 각 각의 진행 방향을 Dot-Matrix 에 ... 시간 표시 ( 카운트 다운 ) △ 보행자 횡단가능시간이 조금 남았을 때 , 깜박임 구현 △ 보행자 횡단가능시간이 아주 조금 남았을 때 , 경고음 구현 △ 좌회전 및 우회전 방향을 Dot-Matrix
    리포트 | 18페이지 | 1,000원 | 등록일 2012.05.05
  • 서울대학교 차세대융합기술원 인턴지원서
    이 때 몸으로 익힌 프로그램의 일부 알고리즘을 응용하여 졸업 작품인 dot matrix를 제어하는데 성공했습니다. ... 제가 실행한 프로젝트는 기본적인 I/O제어를 통해서 dot matrix module을 설계하고, 시계를 display하는 것이었습니다. ... 졸업 작품에서 설계한 dot matrix module은 완제품을 구매한 것이 아니라 512개의 발광 다이오드를 구매하여 직접 하드웨어 설계 및 코딩을 했다는데 큰 의미가 있다고 생각합니다
    자기소개서 | 1페이지 | 3,000원 | 등록일 2013.11.11
  • 기계진동 설계과제 : 진동흡수장치 및 현가장치 설계
    t)}} RIGHT ]y _{0`} = LEFT [ {matrix{y _{1} (0)#y _{2} (0)}} RIGHT ] = LEFT [ {matrix{x _{0}#dot{x} _ ... {x} _{0}.dot{y} (t)`=Ay(t)+f(t) ,y(0)=y _{0}A`= LEFT [ {matrix{````0&```I#-M ^{-1} K&````-M ^{-1} C`` ... {`x} `+Kx`=`BF(t) ,x(0)`=x _{0} ,dot{x} (0)`=` dot{x} _{0}dot{y _{1}} = dot{x} `=y _{2}#dot{y _{2}} `
    리포트 | 40페이지 | 4,000원 | 등록일 2015.03.17
  • Isolation, Microscopy, and Measurement of Chloroplast
    Stroma is the matrix between layers of grana and the outer layer of the chloroplast. ... In both B1 and B2 samples, we were able to observe tiny dots of green scattered, possibly grana, and ... A1 and A2 samples, compared to B1and B2 samples, which showed more scattered distribution of green dots
    리포트 | 7페이지 | 1,500원 | 등록일 2018.10.09 | 수정일 2023.02.03
  • 신호등
    1. 설 계 내 용2.소 스 분 석 3. Block Diagram4. Trade Off5. 결 과
    리포트 | 2,000원 | 등록일 2015.11.26 | 수정일 2015.12.04
  • Natural frequency & Added mass(해양실험 5주)
    Matrix,C _{ij}는 Damping Matrix,K _{ij}는 Restoring Matrix,F _{i}는 Load Vector이다.Heave 운동에 대한 강성K _{water ... (M+M _{a} ) {ddot{x}} +(c+C _{a} ) {dot{x}} +Kx=F _{EX}(3.7)이를 정리하여 다시 적으면 식 (3.8)과 같다. ... 이를 식 (3.5)의 뉴턴 제 2법칙을 이용하여 운동을 표현하면 식 (3.6)과 같다.M {ddot{x}} = sum _{} ^{} F=-Kx-c {dot{x}} +F _{EX} +
    리포트 | 10페이지 | 1,000원 | 등록일 2016.08.12
  • AVR을 이용하여 Dot Matirx(도트 매트릭스) 제어
    소프트제어1.Start: 각 LED가 해당하는 데이터를 각기 따로 Shifing Random변수에 따라 위와 아래 부분의 차이가 결정됨 *signal 함수: R,G,Y를 OR 연산으로 구분2.Result: Random 값에 따라 선택됨도트 매트릭스제어 순서1.Ma..
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.23
  • VHDL - Digital Watch 소스. 정상 동작 확인. A+
    메인시계, 스탑워치, 알람설정, 시간설정, Dot Matrix, vfd 등 포함되어 있습니다.모드 변환이 가능하며 스탑워치 start / stop, reset 기능 있습니다. ... matrix 가 아래에서 위로 흐르게 됩니다.vfd 기능으로 lcd 에 간단한 글자를 표현했습니다.과제 평가 항목 모두 포함A+. ... 누르고 있으면 시간이 증가하고 모드를 변경해도 원래의 시계가 설정된 시간에 따라서 계속 진행됩니다.모드에 따라 watch , stop watch, alarm, setting 이라는 dot
    리포트 | 55페이지 | 3,000원 | 등록일 2014.12.30 | 수정일 2016.05.24
  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    .ⅲ) dot_com: dot matrix led에서 ‘정성훈’이라는 문자열이 왼쪽에서 오른쪽으로 지나가는 것처럼 보이기 위해서는 led 출력이 한 열씩 왼쪽으로 밀리도록 dot matrix ... _d_flipflop과 dot_com을 이용하여 dot matrix led에 출력한다.3. ... led 의 열을 제어해야 된다. comboⅠ 키트 위의 dot matrix led 부분이 10열로 이루어져 있으므로, 10진카운터를 만들어 dot matrix led의 열을 제어한다
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • verilog HDL을 사용한 신호등 for 교통체증 설계~!
    - Bus SW, Keypad에 입력 값이 미리 설정한 값과 같으면 Dot matrix에 ○ 표시- Bus SW, Keypad에 입력 값이 미리 설정한 값과 다르면 Dot matrix에 ... 발생Motor- Bus SW, Keypad에 입력 값이 미리 설정한 값과 같으면 Moter 회전VFD- 첫 번째 줄에 Signal Light 표시- 두 번째 줄에 Congestion 표시Dot
    리포트 | 3,000원 | 등록일 2015.01.01
  • 도트매트릭스를 이용한 디지털 오델로 게임
    회로 설계에 있어서 논리 연산을 시도 - 출력 port 부족으로 다른 방법 고려. - Dot Matrix 발견, 적당한 회로 구성. 2. ... Matrix를 이용하여 직접 구현. ... Matrix1Postscript1.
    리포트 | 11페이지 | 1,000원 | 등록일 2008.11.15
  • 도트매트릭스(회로도 및 코딩)
    응용전자공학 실험 및 설계term project-도트매트릭스-1.회로도2.코드#include #include void main(void){int a=1;int b=0;int k=0;int q=0;int d, f;int t;//////////////////////////..
    리포트 | 10페이지 | 1,500원 | 등록일 2014.04.08
  • 1조 임베디드 결과보고서 최종
    Game Over가 아닐 경우 다시 반복되며 모두 실패할 경우 Piezo에서 실패하는 음악과 Color LED출력, Dot matrix에서 Game Over 발생, Segment에 ... matrix, keypad, dip switch, LED, piezo buzzer, full color LED 의 디바이스들을 ARM프로세서로 제어함으로서 각 디바이스들의 기본적인 ... 이러한 시대적 흐름에 맞춰서 ARM프로세서를 직접 다뤄보고, ARM프로세서를 활용하여 다양한 디바이스들을 제어해봅니다.(2) 디바이스 활용Character LCD, segment, dot
    리포트 | 13페이지 | 2,000원 | 등록일 2015.07.06
  • FPGA HBE-COMBO, VHDL를 이용한 CARGAME 최종보고서
    게임시작문구와 함께 버튼을 이용하여 출력문의 명령에 따라 클릭을 하게 되는 형식으로 설계하였고 버튼을 누를 때마다 Dot-Matrix로 누른 버튼이 의미하는 출력물을 Dot로 출력, ... 그 출력을 보면서 방향키(위, 아래, 왼쪽, 오른쪽)를 누르면 누를 때마다 Dot-Matrix에 방향키의 모양이 출력이 되며 가속키를 누르면 LED에는 점등되며 속도 게이지가 뜨고 ... 소요부품(kit, Program)▶ FPGA용 HBE-COMBO 실습 kit (한백전자)▶ LCD▶ LED▶ 7-SEGMENT▶ Dot-Matrix (14 ×10 size)▶ 버튼
    리포트 | 111페이지 | 1,000원 | 등록일 2010.06.16 | 수정일 2017.07.03
  • [공학기술]마이크로컨트롤러 8051 을 이용한 적외선센서, DC모터 등을 이용한 헤어드라이기 설계
    SumDelay가 8000이 되면Dot Matrix가 꺼지고 DC모터도 정지함JUMPER 설정외부 인터럽트 1의 입력 신호를 선택하는 점퍼. ... Fresh Girl 의 기능 *손을 넣으면 적외선 센서가 인식 DC MOTOR 동작 = 손을 말려준다 *20초 후 자동 OFF *Dot matrix를 통해 시간 경과를 알려준다모터, ... dot matrix 사용 상태설정8051 SFR이 정의된 헤더 파일 선언Status Register 1 의 클리어Status Register 1 을 사용하여 C8255 외부 인터럽트와
    리포트 | 10페이지 | 1,000원 | 등록일 2007.05.29
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:53 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대