• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(351)
  • 리포트(322)
  • 자기소개서(10)
  • 시험자료(10)
  • 방송통신대(4)
  • 논문(3)
  • 이력서(2)

"Dot Matrix" 검색결과 121-140 / 351건

  • [마이크로프로세서] atmega 128을 이용한 설정 가능한 시계, 밝기조절, 온도표시 설계(도트매트릭스 하드웨어설계, 소프트웨어 코드 포함)
    Overflow interrupt는 1당 한번 씩 발생하며 LED dot matrix의 한 열씩을 출력하게 된다. ... .□ 설계 사양○ 상온 25℃에서 5인 thermistor 사용○ CdS는 보통 수에서 손으로 가리면 60이상 올라가는 소자 사용○ 8 by 8 LED dot matrix 사용○ ATmega128 ... 스위치의 감도를 위하여 40의 딜레이를 스위치 동작에 추가 하였다.LED dot matrix의 font가 맨 아래의 한 줄은 출력을 하지 않아 그 한 줄을 활용하여 setting mode에서
    리포트 | 18페이지 | 5,000원 | 등록일 2011.03.29
  • LQR를 이용한 선형역진자 시스템
    )과 제어가능성 매트릭스(Controllability matrix)제어 가능성이란, 시스템의 입력 변수를 조절함으로써 특정한 상태 변수 혹은 전체시스템을 조절할 수 있는지를 나타내는 ... (M+m) ddot{x} +b dot{x} +ml ddot{theta } `cos theta -ml dot{theta ^{2}} `sin theta `=-F (1)(I+ml ^{2} ... (7)(6), (7)식을 상태방정식으로 변환하면 다음과 같다.(8)그리고 각 수치를 대입하면{bmatrix{`&dot{x}#`&ddot{x}#`&dot{theta }#`&ddot{
    리포트 | 5페이지 | 1,500원 | 등록일 2015.04.07
  • [임베디드 시스템] PXA-255를 이용한 멀티미디어 플레이어(PMP)
    LCD를 이용한 이미지 출력 및 Dot-Matrix, LED, FND를 이용한 상태표시 2. ... 개발목표 - 임베디드 환경에서의 사운드의 재생 및 녹음, 동영상의 재생 구현 LCD를 이용한 이미지 출력 및 Dot-Matrix, LED, FND를 이용한 상태표시 - ... 개발내용 키스위치를 이용하여 사용자의 입력을 받아 USB메모리에 저장되어 있는 사운드, 동영상 파일을 재생하며 LCD를 통하여 음악이 재생되는 동안 이미지를 출력하고 Dot-Matrix
    리포트 | 5페이지 | 2,500원 | 등록일 2009.02.12 | 수정일 2016.05.18
  • 전자전기 공학부 졸업 작품 자료
    전체 회로도 & Dot Matrix< Dot Matrix 전체 회로도 >< Dot Matrix 구현 사진 >Ⅵ. 프로그래밍1. ... 전체 회로도 및 Dot Matrix ………………………………………………………5Ⅵ. 프로그래밍…………………………………………………………………61. ... A 포트의 38∼40번 핀도 출력으로 설정하고, 74HC138 디코더의 입력으로 들어가게 해서 8x16 Dot Matrix를 제어하도록 한다.
    리포트 | 10페이지 | 4,000원 | 등록일 2009.01.27
  • 서강대학교 마이크로프로세서응용실험 7주차결과
    (dot matrix display, key matrix)3. ... 1CRH = 0x00000033;GPIOE->CRL = 0x33333333;GPIOE->CRH = 0x33333333;cols = 0x01;rows = 0xff;GPIOE->ODR = DOT_COLG
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • Verilog 및 Quartus ll를 이용한 논리회로 설계/택시미터기 구현/소스코드/설명서/최종 PPT
    ●택시미터기 상태를 결정 하는 SWITCH가 3가지 있다. ●DIP SWITCH1번, DIP SWITCH2번과 DIP SWITCH3번을 사용한다. (S11/3번째 줄 DIPSWITCH)●SWITCH에 따른 상태를 표로 나타냈다.
    리포트 | 1페이지 | 4,000원 | 등록일 2013.11.06 | 수정일 2014.06.24
  • verilog HDL 을 이용한 엘리베이터 제어
    O_pen);// variable should be added later///// key_pad--------------------PiezoSpeaker// Data랑 Com은 dot ... matrix부분이고 A,B,C,D는 스텝모터부분//O_pen은 열림버튼으로 생각하면됨 밑에 태스크에서 inout O_pen으로써서 reg 선언한것임 나중에 키패드로 변경//모듈 이름
    리포트 | 1,500원 | 등록일 2010.07.16
  • verilog code - (combo kit) 10진수 2자리수 덧셈, vfd로 출력
    .⇒ RTL simulation을 실행하기 위해 초기값이 주어지지 않은 count, T flipflop 등을 initialize 해야 함dot matrix를 위한 module들은 RTL
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • 디지털직접회로설계-에어컨설계(airconditioner)
    Matrix : Wind intensityDisplay Heater Cooler as colors.4.TFT LCD : Heater coolerDisplay both Heater ... : Show the Setting TemperatureDisplay setting intensity as picture.Weak windMedium windStrong wind3.Dot
    리포트 | 29페이지 | 3,000원 | 등록일 2013.10.14
  • AVR ATMEGA128을 이용한 디지털 시계 설계
    출력 매체도 Text LCD에만 국한되지 않고 LED, Graphic LCD, DOT MATRIX 등 KIT 내의 다양한 장치들을 활용하여서 예전 디지털 시계의 단순함을 없애며, 외부
    리포트 | 58페이지 | 5,000원 | 등록일 2009.02.09
  • 실험계획법 기업의 실제적용사례
    . → 선별실험 ( 많은 잠재인자 中 3~6 개 정도 선정 ) - Multi-voting 또는 X-Y Matrix 평가 → 잠재인자 4 개 인자 ( 탈수온도 , 물투입량 , 유지시간 ... 회귀분석 (Logistic Regression) [ 역 산점도 ] 계수형 ( 속성형 ) 데이터 t - 검정 분산분석 (ANOVA) F – 검정 [Box-Plot, Histogram, Dot-Plot
    리포트 | 21페이지 | 3,000원 | 등록일 2018.10.14 | 수정일 2018.10.19
  • Embedded System 2nd_Report LCD Control
    one line for 5 X 10 dots. ... and 5 X 10 dot character fonts, each with a cursor.Up to two lines are displayed for 5 X 8 dots and ... However, the following display examples are made possible by altering the matrix layout of the liquid
    리포트 | 60페이지 | 3,500원 | 등록일 2013.10.28
  • 2017년도 1학기 중앙대학교 전자전기공학부 4학년 마이크로프로세서응용회로설계실습 결과보고서 [5주차]clcd-mm.c 코드 해석
    matrix로 문자를 표현할 패턴에 대한 정보가 들어가 있습니다. ... command = 0x00;if (CG_or_DD > 0)command = 0x80;command |= pos;setcommand(command);}// CG RAM address에는 dot
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.25
  • VHDL를 활용한 EE ATM 설계하기
    ▷제작-업무부담신준식(20091564) : seven segment, dot matrix, text-lcd, input_money 함수 구현, 최종 수정공경보 (20091468) : ... 비밀번호를 한자리씩 입력받을 때마다 dot matrix에 별이 하나씩 켜지도록 설정하여 비밀번호가 입력되고 있는지를 판별할 수 있도록 설정하였고 비밀번호 3번을 틀릴 경우 text-lcd에 ... matrix 함수를 구현하고 동작을 확인하였고 이를 main 함수에 적용하였고 돈을 입력 받는 input money 함수를 구현하였다.3주차(11/26) - 2주차에 이어 입금,
    리포트 | 20페이지 | 2,000원 | 등록일 2013.08.11
  • AVR 장애인 전용 음성인식 엘리베이터
    그리고 각층에 도착했을 때나 이동시에 보다 알기 쉽게 인식하고 볼 수 있도록 엘리베이터 윗부분에 Dot-Matrix를 설치하여 층의 위치와 층이 어디로 이동하는 지를 한눈에 볼 수 ... 전원 아답터 12v 신호를 받아서 5v신호로 낮추어 주는 역할을 하는 전원부, Dot-Matrix와 메인 cpu인 ATmega 128의 레핑선 연결, 음성인식 모듈과 스피커단의 연결
    리포트 | 17페이지 | 5,000원 | 등록일 2012.10.16
  • VHDL을 이용하여 자동차 구현하기
    주유버튼을 누르면 dot-matrix에 기름이 차고, 가속버튼을 누르면 기름이 닳습니다. ... 이용하여 자동차 계기판을 구현했습니다실제 자동차처럼 연료, 속도, 안전벨트 착용 유무, 시동 확인, 스마트키 出入 등을 표현해주는 것을 LED, PIEZO, 7-Segment, Dot ... Matrix, TFT LCD를 이용하여 구현하였고 버튼 스위치, 딥스위치를 통해 입력이 되게끔 하였습니다.기어 변속 버튼이 있어 기어변속(주차, 전진, 후진)이 가능하고 각 기어별로
    리포트 | 22페이지 | 4,000원 | 등록일 2012.05.15
  • Robust Estimation of Epipolar Geometry
    X_dot(i,2) 1];EndFind_F.mA matrix를 계산한다. ... 로부터 F matrix를 계산한다.2. ... [A; X_dot(i,1)*X(i,1) X_dot(i,2)*X(i,1) X(i,1) X_dot(i,1)*X(i,2) X_dot(i,2)*X(i,2) X(i,2) X_dot(i,1)
    리포트 | 24페이지 | 1,000원 | 등록일 2011.12.08
  • VHDL을 이용한 스톱워치 구현
    )를 Dot-Matrix B/D로 를 출력 ... I.프로젝트 개요VHDL을 이용한 Dot Matrix LED 제어 및 STOP WATCH 구현3개의 Dot Matrix로 MIN, SEC, SEC_HUN 표현Stop 버튼 과 reset ... 스캔하게 되며 각 문자는 CNT값이 0~7까지 증가할 때마다 한 행의 데이터(DOT_OUT)를 Dot-Matrix B/D로 를 출력6. dot_sec.vhd : dot_sec_hun
    리포트 | 13페이지 | 3,000원 | 등록일 2011.09.07
  • ontrol Engineering Project
    , 4 by 4B = [0, 0, 0, 0.5]'; %state space matrix 트랜스폼 해서 4 by 1% 행렬에 바로 질량, 탄성계수 등을 계산 과정에서 다 직접 수치로 ... velocity)% 주어진 조건에 맞게 초기 조건 대입A = [0, 0, 1, 0;0, 0, 0, 1;-8, 3, -2, 2;1.5, -1.5, 1, -1]; %state space matrix ... (i+1,:) = rk4('diff_x_2',Xh(i,:),Xh_dot(i,:),ts,i); % integral% homogeneous solution 만 구하는 과정Xf_dot(i
    리포트 | 7페이지 | 1,000원 | 등록일 2012.12.16
  • 소프트웨어 part
    DOT MATRIX test code…………………………………………………………………133. 구동 프로그램………………………………………………………………………… 17Ⅳ. ... DOT MATRIX test codeCode Wizard Source file를 생성한 뒤, LED 도트 매트릭스 연결 후 제대로 된 작동 되는지 테스트 하기 위한 소스코드.
    리포트 | 29페이지 | 5,000원 | 등록일 2009.01.27
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대