• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(369)
  • 리포트(350)
  • 자기소개서(18)
  • 시험자료(1)

"Quartus" 검색결과 101-120 / 369건

  • vending machine(자판기)
    보고서 형식의 한글 파일과 ppt파일 그리고 프로그램 소스가 함께 포함되어있습니다.quartus를 이용하여 verilog HDL code로 작성한 vending machine(자판기
    리포트 | 13페이지 | 3,500원 | 등록일 2014.06.28 | 수정일 2014.07.03
  • 디시설 - 기본적인 디지털 논리회로 설계
    ISE 또는 Quartus에서 새로운 프로젝트를 생성하고 Block Diagram/Schematic을 이용해[그림 3-14]의 회로를 설계하라.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • SRlatch,Dlatch등등 여러가지 latch들
    Open the Quartus Ⅱ software and assign the project name sr_notCyclone Ⅱ의 EP2C20F256C7을 이용하였습니다.2. ... 카운터, 동기 카운터③ 시프트 레지스터④ PLD를 이용한 시프트레지스터, 카운터이에 이 연구에서는 순서논리회로에 대해 보다 타당하고 신뢰성 있는 연구결과를 얻기 위해, Altera Quartus라는
    리포트 | 42페이지 | 1,000원 | 등록일 2019.03.16 | 수정일 2021.01.05
  • 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    1. 4bit adder1-bit 전가산기를 설계하여 4개를 결합, 4bit 가산기를 만든다. 이 결합의 과정에서 벡터 변수를 사용하여 가산기의 입출력 수치를 관리할 수 있도록 설계하였다.2. 4:1 multiplexor multiplexor, 즉 MUX란 select..
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • FPGA 보드를 이용한 4거리 신호등 설계
    압축파일에는Quartus 소스 파일들과이에 대한 설계서및 프로젝트 설명서가 담겨져 있습니다.
    리포트 | 6페이지 | 5,000원 | 등록일 2010.11.17
  • 6자리 생년월일 발생기
    Quartus 회로도 및 결과오실레이터에서 나오는 1Mhz의 주파수를 2hz로 낮추어 주기 위해서 카운터를 이용합니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2012.01.26
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다.2
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • FPGA를 이용한 DC-DC 부스트 컨버터 PID 제어 설계 및 실험(verilog 코드 포함)
    - FPGA를 이용하여 전력전자 공학에서 배우는 DC - DC 부스트 컨버터 설계 및 PID 제어 실험- 전체적인 회로도 및 QUARTUS II 를 이용한 PID 제어 사용법 포함( ... PDF 및 동영상)FPGA.zip 자료 내용- FPGA.zip
    리포트 | 3,000원 | 등록일 2014.11.30 | 수정일 2020.03.15
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험19) 디지털 시계 설계
    코드2) , , , , 그리고 의 동작을 이해하고, Quartus Ⅱ을 이용하여 시뮬레이션하고, 각 모듈에 대한 심볼을 생성하라.? ? 시뮬레이션? ? ? ? ... 시뮬레이션4) 각 모듈에 대한 심볼을 사용하여 [그림 19.1]을 Quartus Ⅱ 상에서 구현하라.? Block diagram? Block diagram
    리포트 | 8페이지 | 3,000원 | 등록일 2014.10.21 | 수정일 2016.06.15
  • 디지털실험 예비 #4
    특히 simulation을 돌릴때는 도서관에서 빌려온 Quartus II 강좌 책은 최근버젼과 달라서 패닉에 빠지기도 했다. ... Quartus II tool을 이용하여 DE2-70 board에 다운로드 해야 할 회로 모듈을 작성하고, 디버깅하여 제대로 작동되는지 Simulation을 돌려보아라. part1.v책에
    리포트 | 8페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • Verilog HDL 문법 자료 (A+받은 자료 입니다)
    준다.QUARTUS 사용법코드를 작성 한다. ... (로그인 필수)QUARTUS 사용법폴더의 이름과 프로젝트이름을 넣는 곳이 나오는데 폴더의 이름과 프로젝트의 이름을 같게 설정 해준다.QUARTUS 사용법칩을 선택을 해야한다. ... 쿼터스는 알테라사의 제품이다.QUARTUS 사용법상단에 보이는 다운로드 버튼을 누른다.QUARTUS 사용법알맞은 버전을 선택한 후 무료로 배포중인 web edition버전을 다운한다
    리포트 | 34페이지 | 3,000원 | 등록일 2015.06.22 | 수정일 2015.06.23
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    시뮬레이션실험 1)실험 2) PSpice나 Quartus II를 이용해 시뮬레이션을 위한 회로 구성에 힘든 점이 있어 진리표로 시뮬레이션 값 대체함.- 진리표문자InputD C B
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털시스템실험 4주차 결과리포트
    Quartus에서 시뮬레이션을 수행하는 도중 오류가 있어 simulation option에서 simulator를 Quartus simulator로 변경하였다.3. ... Quartus에서 기본적으로 제공하는 AND, OR, XOR 등의 연산모듈을 사용하면, 해당 코드를 좀 더 간결하게 작성할 수 있을 것이다. ... Quartus에서 처음에 시뮬레이션을 수행할 때 출력값에 값의 변화를 주는 실수를 범하여 쓰레기값으로 출력이 되어, 출력값의 변화를 주지 않고 시뮬레이션을 한 결과, 정상적으로 값이
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • FINAL Project booth multiplier 와 carry Look ahead adder를 이용한 자판기 설계
    II Webpack ver. 8.1Synthesizers : Altera Quartus II Webpack ver. 8.1Target : CYCLONE II EP2C35F672C6 ... Organization :Created : 2008. 12. 20Last update : 20th dec, 2008Platform : WINDOWSSimulators : Altera Quartus
    리포트 | 22페이지 | 5,000원 | 등록일 2018.04.04
  • VHDL 자판기소스
    저희 이 작품으로 A+ 맞았구요^^교수님이 정말 재미난 기능들로 연동을 하여 매우 흡족하셨습니다.핀번호는 폴더안에 다 들어있구요 주석 하나하나 다 달아놓았습니다.모르시는 부분이 있으시면 연락 주시면 친절히 대답해드리겠습니다~기능 설명을 하면은DotMatrix, Text..
    리포트 | 6,000원 | 등록일 2014.12.18
  • VHDL을 이용하여 자동차 구현하기
    VHDL을 이용하여 자동차 계기판을 구현했습니다실제 자동차처럼 연료, 속도, 안전벨트 착용 유무, 시동 확인, 스마트키 出入 등을 표현해주는 것을 LED, PIEZO, 7-Segment, Dot Matrix, TFT LCD를 이용하여 구현하였고 버튼 스위치, 딥스위치를..
    리포트 | 22페이지 | 4,000원 | 등록일 2012.05.15
  • 결과보고서 - Register
    이용하여, Read/Write가 가능한 General Purpose Register File과 Special Purpose Register File을 설계한다.실험준비물Altera Quartus
    리포트 | 6페이지 | 2,000원 | 등록일 2017.11.08
  • FPGA를 이용한 디지털 시계
    • VHDL을 이용하여 실생활에 많이 이용되는 디지털 시계를 구현하여 Clock의 활용을 익혀 VHDL 응용 능력을 기른다. • 입력장치 Switch와 출력장치 FND 7-Segment 제어를 익히고 특성을 이해한다. • FPGA를 통해 도트 매트..
    리포트 | 1페이지 | 1,000원 | 등록일 2011.12.22
  • FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.디지털시계- 디지털시계의 기능은 크게 디지털클럭, 타이머 및 알람 기능으로 구성되며 이것을 VDHL기반으로 Quartus
    리포트 | 3,000원 | 등록일 2014.12.30
  • 논리회로실험 7주차 결과보고서
    Quartus Ⅱ와 DE2-115 보GA에 구현하고 switch로 입력 값을 변화하며 LED의 변화를 살펴보면 다음과 같은 결과 값을 얻을 수 있다. ... 7-segment LED의 Quartus Ⅱ Schematic을 이용한 구현? 7-segment LED의 Modelsim을 이용한 결과 파형 확인? ... 실험과정[ 실험 1 ]1. 4x2 encoder의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 구현하고, Modelsim 값과 DE2-115에서의 동작을 확인한다.?
    리포트 | 8페이지 | 1,000원 | 등록일 2014.01.05 | 수정일 2014.09.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대