• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(11)
  • 리포트(11)

"quartus stopwatch" 검색결과 1-11 / 11건

  • stopwatch 결과보고서
    실험 과정제공된 코드 (stopwatch.v) 의 동작을 이해하고, 모델심에서 동작시켜 본다. ... (stopwatch.v) 를 다음과 같이 변경하여 동작을 확인하시오. - start 스위치 기능은 변경없음- reset 스위치에 의해서 99로 초기화 됨- 0.1초마다 1씩 감소하도록 ... 관련 이론Quartus Prime, Modelsim의 사용법, Verilog코드, 논리회로의 couner, clock, reset등4.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • VHDL로 구현한 디지털시계 (EP1C6Q240C8)
    리포트 | 2,000원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • [디시설] stop watch 코딩 전북대 vhdl quartus
    // Clk_divmodule clk_div (clk, out); // Colck 분주 모듈input clk; // 입출력 변수 정의output out;reg [14:0] tempout;always @(posedge clk) // Posetive Edge 발생시 tem..
    리포트 | 6페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • VHDL STOPWATCH 설계보고서, QUARTUS STOPWATCH 설계보고서
    0);mmsec22,sec11,sec22,min11,min22 : in std_logic_vector(3 downto 0));END stopwatch;ARCHITECTURE stopwatch_arch ... SUBMODEL : mmsec3 SUBMODEL : secmin4 SUBMODEL : alarm4 TESTBENCH : stopwatch_TB①. ... TOP MODEL : stopwatch 에 대한 설명library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use
    리포트 | 16페이지 | 5,000원 | 등록일 2014.02.28
  • 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    Quartus2 프로그램을 이용하여 Stop Watch가 작동할 수 있는 회로를 구성한 뒤, FPGA를 사용하여 Bread Board와 7-segment를 이용하여 동작을 확인한다.2 ... 그리고 start/stop이 1의 값을 가질 때(카운팅 진행중) 7490 clear쪽의 AND gate에서는 start/stop 버튼에 의해 0의 값이 들어가므로 카운팅이 되고 있을 ... 숫자가 7-segment에 표시된다.③ lap 기능 : 시간이 경과되는 중에 start 버튼을 한 번 더 누르게 되면 누를 때의 시간이 7-segment에 표시되는 상태를 유지하고,
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 아주대학교 논리회로실험 설계 에비보고서
    [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력IC set들을 지나온 각 신호들은 7-segment ... 이를 Decimal로 바꾸어주는 IC 74145를 사용하면 굉장히 빠른 시간에 output seg_power1부터 seg_power5에 LOW signal이 반복적으로 들어가게 된다 ... FND에 연결하여 control 할 수 있다.[7-segment power control Part] : 5개의 output 단자로 5개의 7-segment의 전원을 효과적으로 제어7490
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 논리 설계 및 실험, 부산대학교, 논리 설계 텀프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    이 프로그램은 부산대학교 논리설계및 실험 과목 텀프로젝트 디지털 시계 소스코드입니다.본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이 포함되어있고,디지털 시계의 모든 모듈이 저장되어있습니다.분주회로, 카운터, MUX, D..
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    진경시, 김경만, 박효열 공저, 기전연구사.2007#첨부a_top -> matc -> mux -> a state -> SD -> counter-> a_seg_drvTOP 블록 설계 ... std_logic_vector(3 downto 0);SD10: in std_logic_vector(3 downto 0);SD1: in std_logic_vector(3 downto ... out std_logic_vector(3 downto 0);DISM10 : out std_logic_vector(3 downto 0));end component;-- a_seg_drv
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    = time_sec; elsif mode = 01 then -- stopwatch 표시 ---mode1 hour_out = st_min; -- stopwatch 모드를 st로 표시 ... 근20041043이 희 락200410755조ContentsSimulation 결과느낀점VHDL Source설계 및 구현방법개 요우리주변에서 흔히 볼수있는 디지털 시계 구현Quartus를 ... ment스탑 워치 모드sw1 Sw2 sw3 clk내부구조설계 및 구현방법알람 모드알람 설정sw0시간 조절 버튼sw2sw1sw1sw0sw3sw1sw0알람 on/off 기능알 람 부
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    시간을 Display하고, Button switch로 control- 시간, 분, 1/100초 단위까지 있어서 세밀하게 시간을 측정할 수 있다▼ 사용된 툴과 키트 소개- 사용 툴 : Quartus2 ... = 4) thencnt := 0;sHz100 := not shz100;elsecnt := cnt + 1;end if;end if;hz100 ... : std_logic;beginif( nclr = '0') thencnt := 0;shz100 :='0';elsif (clk'event and clk='1') thenif (cnt
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:02 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기