• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(41)
  • 리포트(38)
  • 자기소개서(3)

"quartus 시계" 검색결과 1-20 / 41건

  • quartus를 이용한 간단한 시계
    "quartus를 이용한 간단한 시계"에 대한 내용입니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.11.30
  • Quartus digital clock project 쿼터스 디지털 시계 프로젝트 A+
    디지털 회로 설계 실험 수업에서 A+ 받은 자료입니다.쿼터스로 디지털 시계 설계했고 모델심으로 확인도 가능합니다.한학기동안 진행했던 프로젝트로 DE2 보드에서 정확히 돌아갑니다.편한
    리포트 | 10,000원 | 등록일 2017.06.12 | 수정일 2021.04.22
  • Altera Quartus(Verilog)를 이용한 디지털시계 구현
    Altera Quartus 프로그램을 이용하여 Verilog로 프로그래밍한 디지털 시계한백전자 Soc-Entry-kit || 에 다운로드하여 작동가능(7-segment 구분점 출력,
    리포트 | 12페이지 | 4,000원 | 등록일 2010.10.03
  • Altera Quartus 디지털 시계 알람, set기능
    문제 정의 - 알람 기능을 가진 디지털시계 설계 - SW0 : 시계를 Set하는 신호 -> 0 : 모든 기능 정지, 1 : 동작 SW1 : view mode select signal
    리포트 | 20페이지 | 2,500원 | 등록일 2009.06.08
  • Altera Quartus를 이용한 디지털시계 설계 소스 및 ppt 자료(시계,알람,스탑워치 기능포함 소스)
    디지털 설계소스 및 파워포인트 자료목차1.시계의 기능 및 작동원리2.블록다이어그램 및 코드3.시뮬레이션 결과
    리포트 | 15페이지 | 4,000원 | 등록일 2008.11.03
  • stopwatch 결과보고서
    관련 이론Quartus Prime, Modelsim의 사용법, Verilog코드, 논리회로의 couner, clock, reset등4. ... CLOCK_50은 50MHz (M9, H13, E10, V15) 중 하나로 할당- reset 값에 따른 시계 동작을 확인하시오- start 값에 따른 시계 동작을 확인하시오제공된 코드
    리포트 | 6페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 23년 상반기 한화파워시스템-전기제어 합격자소서
    구체적인 사례와 경험을 들어 기술하여 주십시오.1) 디지털 시스템 설계 A+VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다. ... 이에 한화파워시스템의 전기제어 직무에서 제가 가진 역량을 발휘할 수 있을 것이라 판단해 지원했습니다.저는 학부 2,3,4학년 간 브레드보드, PSPICE, ModelSIM, Quartus
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • VHDL로 구현한 디지털시계 (EP1C6Q240C8)
    리포트 | 2,000원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    출력신호를 만든다.3) 7-세그먼트 표시키 (Seven Segment Indicator)디지털 회로는 LED또는 LCD와 같은 디스플레이 장치를 사용하는 출력을 나타내게 되는데 시계나 ... 시뮬레이션실험 1)실험 2) PSpice나 Quartus II를 이용해 시뮬레이션을 위한 회로 구성에 힘든 점이 있어 진리표로 시뮬레이션 값 대체함.- 진리표문자InputD C B
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    FPGA설계로 디지털시계를 구현하고, 그에 알맞은 스톱워치기능, 알림기능, 시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.디지털시계- 디지털시계의 기능은 크게 ... 디지털클럭, 타이머 및 알람 기능으로 구성되며 이것을 VDHL기반으로 Quartus Ⅱ툴을 사용하여 설계하고 Altera DE-2 보드에 설계결과를 다운로드 후 동작여부를
    리포트 | 3,000원 | 등록일 2014.12.30
  • FPGA를 이용한 디지털 시계
    • VHDL을 이용하여 실생활에 많이 이용되는 디지털 시계를 구현하여 Clock의 활용을 익혀 VHDL 응용 능력을 기른다.
    리포트 | 1페이지 | 1,000원 | 등록일 2011.12.22
  • 디지털논리회로 설계 프로젝트 보고서
    회로와 조합 회로에 대한 지식을 바탕으로 디지털시계Quartus Ⅱ를 이용해서 만들어 보았다. ... 시계 각 자리의 출력은 총 6개의 Seven Segment로 알맞게 들어간다.영문요약 : We made "Digital Clock" with Quartus Ⅱ and Digital ... Am Pm 표시 기능은 디지털시계의 시간을 표시하는 카운터의 출력을 이용해 Am Pm을 표시 한다.
    리포트 | 9페이지 | 4,200원 | 등록일 2011.01.09
  • DE2 보드 이용 디지털 시계 만들기
    핀 설정 및 코딩에서의 에러 때문에 시간이 오래 걸렸지만 결국 에러를 수정하고 핀 설정을 수정한 후에 시계가 동작하는 것을 확인하였다. ... 왼쪽 2개의 led 가 시간, 그 다음 2개의 led 가 분, 마지막 2개의 led 가 초를 나타낸다. 1초마다 시계가 올라가며 60초에 초 led 는 00 으로 바뀌며 분 led
    리포트 | 8페이지 | 3,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 디지털 시계 설계 보고서
    고찰Verilog 소스코드 작성, Quartus를 이용한 회로구성, Modelsim을 사용한 시뮬레이션하는 과정을 모두 거쳐 SoC시스템 작동 파일을 만들 수 있었다. ... SoC 설계(디지털 시계)목차1. 서론2. 설계 목표3. 시계 구조4. 검증5. 고찰6. 참고문헌7. 소스 코드1. ... 시계 구조1) 시계 부분그림 시계 회로의 회로도module :6진 카운터 2개 : 분주 클럭이 증가하거나 Enalbe입력이 들어갈 경우 0에서 5까지 변한다.Clk_ref1초를 분주하는
    리포트 | 19페이지 | 2,000원 | 등록일 2012.05.29
  • 2015 하반기 LIG 넥스원 합격 자소서
    2015 하반기 LIG 넥스원 자소서1.“일찍 일어나는 새가 벌레를 잡는다.”학창시절, 잠긴 교실을 가장 먼저 여는 역할을 맡아왔습니다. 매일같이 아침 6시에 출근하시는 아버지를 본받아 일찍 일어나는 것이 습관이 되었기 때문입니다. 작은 습관일지 모르지만 이 점은 한 ..
    자기소개서 | 4페이지 | 3,000원 | 등록일 2015.11.30 | 수정일 2015.12.11
  • [디시설] 4bit Shift Reg & Counter 전북대 vhdl quartus
    ※ 8-bit 과제를 수행함에 있어 어려움이 있어서 4-bit로 과제를 수행하였습니다. 추후 더 공부한 뒤 8-bit로 과제를 다시 해보도록 하겠습니다. 1. 4-bit Universal Shift Register - Structurals0과 s1에 의하여 입력 값이 ..
    리포트 | 3페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 디지털시계 프로젝트, digital clock 프로젝트
    실험(4)프로젝트 보고서디지털시계1. ... 시계 모드에서는 알람을 설정할 수 있다. ... 알람을 설정한 시간이 되면 1분 동안 Buzer가 울린다.///// 디지털 전자시계 //////* CLOCK ** 초 단위로 동작하는 12 시간제 표시 전자시계. ** 알람 기능이
    리포트 | 34페이지 | 1,500원 | 등록일 2017.04.02
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    구현Quartus를 이용한 VHDL의 이해카운터와 Decoder를 이용한 디지털시계 동작원리 이해P r o j e c t 목 표알람 및 스탑워치설정이 희 락 제어부분설정박 대 근시계부분 ... 호20051041박 대 근20041043이 희 락200410755조ContentsSimulation 결과느낀점VHDL Source설계 및 구현방법개 요우리주변에서 흔히 볼수있는 디지털 시계 ... 기능  watch  Stop watch 기능  alarm 기능  시계의 작동원리  동기식 카운터와 6진과 10진 12진 카운터를 이용  시 / 분 / 초의 앞부분은 6진
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • LG 이노텍 2015 상반기 합격 자소서
    그 중 한 수업에서 Quartus라는 개발 Tool을 사용하여 프로젝트를 진행했습니다. ... 개발Tool에 대한 수업은 간단한 동작만 배웠고, 디지털시계의 알고리즘을 만들어야 했습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2015.12.13
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대