• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(132)
  • 리포트(113)
  • 자기소개서(18)
  • 논문(1)

"vhdl 디지털시계" 검색결과 1-20 / 132건

  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... -------------------------------------------------p.11이론적 배경Vhdl를 이용한 코드출력 결과디지털 시계------------------- ... 다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다.Vhdl를 이용한 코드.출력 결과1초 생성기이론적 배경디지털 시계를 만들기 위해
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • VHDL실습 디지털 시계
    VHDL 및 실습디지털 시계 설계 및 시뮬레이션1.서론‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 하여 이를 ... Top-Down구조로 연결하여 설계해 보았다.우선, 디지털시계를 설계하기 위해서는 다음의 회로들을 설계해야 한다.① 2x1 MUX② 1초 생성기 ? ... 보드 상에 시각을 fnd로 표현하기 위해 설계해야 한다.그런데, ①번의 2x1 MUX 에서도 디지털시계를 설계할 때와 같은 방식으로 component문을 사용하여 구조화 하여야 한다
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • VHDL 디지털 시계 digital watch
    1Digital Watch1. 실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다.2. ... 시계에서 MUX의 용도는 RUN과 SET를 구분하기 위해서다. ... [Schematic을 사용한 Digital Watch 설계][PIN 설정][RTL 뷰어](1) Digital watch(2) 1초 생성기(3) 60진 카운터(4) 12진 카운터(5)
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • VHDL 디지털 시계
    디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. ... EDA_Lab3000 실습 키트를 가지고 디지털시계의 동작을 확인하도록 한다. ... 1)디지털시계●목적· MODE SWITCH의 동작에 대하여 공부한다.· Debounce 회로의 동작에 대하여 공부한다.· 시계 조정 회로의 동작에 대하여 공부한다.· Timebase
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)2007年 11月 20日崇實大學校 IT大學情報通信電子工學部金 應 ... 日學士學位 請求論文VHDL을 이용한 디지털시계 설계(Design of a Digital Clock using VHDL)指導敎授 : 宋 仁 彩이 論文을 學士學位 論文으로제출함2007
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • VHDL을 이용한 디지털시계
    설계 절차① 디지털 시계의 설계 사양 결정㉠ 디지털 시계의 설계 과정 블럭도㉡ 디지털 시계의 기능 설명입력: CLK : 외부에서 제공하는 시스템 클럭SW1, SW2 : 각종 모드 설정 ... 시간모드-디지털 시계의 초기 모드. ... 제목디지털 시계 구현2. 목적지금까지 배운 것을 기반으로 하여 기능이 다양한 시계를 구현해 본다.3.
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • VHDL을 이용한 디지털 시계 설계 할인자료
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로 ... 이번 실습 시간을 통해 디지털 시계의 원리를 이해하였으며, 시계 작성에도 컴퓨터 코딩이 필요한 것을 알게 되었다. 마지막 실습 까지 도와주신 조교님들 수고하셨습니다.
    리포트 | 20페이지 | 3,000원 (20%↓) 2400원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • VHDL 디지털시계 발표 자료
    완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 듣고 VHDL에 대한 얕은 지식만을 가지고 있던 저희는 망망대해를 걸어가는 기분이었습니다. ... 하지만 1주가 지나고 2~4주가 지나면서 점점 VHDL를 이용하면서 시계를 제작해가는 저희의 모습을 발견하게 되었고.. 시험이 끝난 이후 *^^how} ... else com = 11111111 ; end if; end if; end process; end sample;동 작 화 면 사 진동 작 화 면 사 진제작 후기위의 제작 과정을 통해 디지털시계
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • VHDL 디지털 시계(소스,시뮬레이션,설명)
    d gital clock 2vIndex Clk_div Dclk_2v Bcd_seg dclk waveformclok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 Cl..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • VHDL을 이용한 디지털시계설계
    VHDL을 이용한 디지털시계(Digital Watch)① 시간표시 기능(시, 분, 초), 시간수정기능, 스톱워치 기능이 되면 디지털 시계설계② 모드 선택과 시간수정은 버튼을 이용하여 ... 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을 ... VHDL을 이용한 디지털시계4. VHDL Code5. 실험 결과 및 분석6. 실험방법(트레이닝 키트)7. 결론 및 느낀점1.
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • vhdl_응용논리_디지털시계
    설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. ... : EP2C35F672C6N• Display : 7-Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • VHDL를 이용한 디지털 시계
    과 목 : 논리회로설계실험과 제 명 : 디지털 시계담당교수 : 김 종 태학 과 : 전자전기공학학 년 : 3 학 년학 번 :이 름 :제 출 일 : 08. 05. 21IntroductionDigital ... 디지털 시계를 제작하는 것으로 시간을 나타내는 세그먼트 2개, 분을 나타내는 세그먼트 2개, 초를 나타내는 세그먼트 2개를 이용하여 구성 할 것이다.▶ 이 때 비동기 Reset를 ... 동작하도록 clk를 설정하고 각 단위에서 count의 동작을 구현 시켜 디지털 시계를 설계해야한다.Implementation- New Project 생성- Top-level Modual
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • vhdl 디지털 탁상 시계 설계
    추후 변경 가능.통합1) 시간 표시 방법 24시간 표시방법으로 통일.2) 시계로서의 동작 완벽함.설계보고서순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 ... 2010학년도과목교수이름학번설계작업서성결대학교 정보통신공학부모둠설계제안서(계획서)-목표순서항목평가설계의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 시각 ... 표시(7-segment)Stopwatch 기능알람 기능(전용 전등 점등)발표자료자료조사● 시장조사 : 전등 점등 기능을 갖춘 디지털 탁상시계는 없으므로 아이디어 상품으로 판단.●
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • VHDL Digital Alarm Clock 디지털 알람 시계
    알람시계의 TOP 이다. ... 1min,seven => SEG_1min);decoder_10s : decoderport map( bcd ( bcd => cnt_1s,seven => SEG_1s);end STRUCT;디지털
    리포트 | 17페이지 | 5,000원 | 등록일 2011.06.14
  • VHDL의 활용(_디지털시계의 설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용VHDL의 활용[ 디지털시계(digital watch)의 설계]▣ 제1절 목표,구성 및 동작? 설계의 목표? ... 디지털시계의 동작? 모드선택 : 시간표시, stop_watch, 초/분/시 설정모드가 반복하면 설정? ... 시간(time)표시 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계? 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용? 구성 요소?
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • VHDL 을 이용한 디지털 시계 설계
    디지털 시계의 기능1) 기본적인 시계 구현- 기본적인 시계의 경우 8개의 7-Segment를 이용하여 시, 분, 초 1/100초를 각각 2개씩 나타내도록 사용하였습니다. ... 디지털시계시계기능, Stop Watch기능, 달력기능, 그리고 알람 기능을 가지고 있으며, 각각의 기능 등을 선택하는 MODE와 각 기능에 있어서 세부 사항을 조절하는 SUB_MODE ... RST값이 1이 되었을 경우에 한하여 디지털 시계는 동작하게 되고, CLK은 내부에 존재하는 50Mhz 클럭을 분주하여 1/100초까지 구현 할수 있도록 하였습니다.
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • VHDL실습 디지털시계, 1초 생성기, 60초 카운터 등
    RTL VIEWER 결과Top Design-Vhdl-D Component를 이용한 시계설계→ 디자인 파일 추가- 시계를 설계하기 전, 저장할 폴더 안에 지금까지 만든 초 생성기, 12진 ... 차 례1초 생성기60진 카운터(초, 분)12진 카운터(시간)FND Decoder & 2x1 MuxTOP Design_VhdlTOP Design_Schematic●디지털 시계의 전반적인 ... FND 디코더로 숫자를 표현하기 위해 digit_one, digit_ten, digit_cnt를 각각 4bit 로 설정하였다. nRst이 0일 때 cnt는 12시로 리셋이 된다.dig_one과
    리포트 | 16페이지 | 3,500원 | 등록일 2015.05.30
  • [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL ... 0);led : out std_logic_vector(7 downto 0));end digital_watch;architecture Behavioral of digital_watch ... ;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic;push1 : in std_logic;push2 : in
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • 디지털 전자 시계 VHDL (Flowrian 을 이용한 논리 설계 실험 )
    구현 - 알람 , 스탑워치 , 시간변경 , 시간변경(다른나라 )직접 책보면서 구현 , 작동합니다.
    리포트 | 4,000원 | 등록일 2013.12.14 | 수정일 2013.12.16
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:20 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기