• 통큰쿠폰이벤트-통합
  • 통합검색(213)
  • 리포트(197)
  • 시험자료(13)
  • 방송통신대(2)
  • 논문(1)

"c++ mul" 검색결과 101-120 / 213건

  • 64bit R2SDF FFT for OFDM verilog source
    Mul_En)cnt = 0;else if(cnt < 8)cnt = cnt + 1;elsecnt = 0;endalways @ (posedge clk) begincase (cnt)5'd0 ... , Mul_En;output signed [27:0] data_out;reg [4:0] cnt;reg signed [13:0] Re_data_out, Im_data_out;always ... (data_out, data_in, reset, clk, Mul_En);parameter signed w0=28'b0000010000000000000000000000;parameter
    리포트 | 12페이지 | 6,000원 | 등록일 2009.12.22 | 수정일 2020.08.28
  • 컴퓨터 시스템 구조 8장 연습문제 레포트
    R1, ER1 ← R1 * M[E]SUB R1, FR1 ← R1 - M[F]MUL R1, CR1 ← R1 * M[C]ADD R1, AR1 ← R1 + M[A]SUB R1, BR1 ... ← M[D] * M[E]SUB R2, R1, FR2 ← R1 - M[F]MUL R3, R2, CR3 ← R2 * M[C]SUB R4, A, BR4 ← M[A] - M[B]ADD R5 ... 구조LOAD HAC ← M[H]MUL KAC ← AC * M[H]ADD GAC ← AC + GSTORE TM[T] ← ACLOAD DAC ← M[D]MUL EAC ← AC * ESUB
    리포트 | 6페이지 | 1,500원 | 등록일 2008.12.28
  • ARM Assembly 실습2
    ((r6*r3)+r8)no 1. MUL and MLA(Error의 경우)A. ... + C(캐리 플래그)ADD : 32비트값의 덧셈 / Rd = Rn + NRSB : 32비트값의 뺄셈(반전) / Rd = N - RnRSC : 캐리를 고려한 32비트값의 뺄셈(반전) ... Source codeB. discussion먼저 이 코드가 에러가 발생하는 이유는 두가지 이다 첫 번째로 MUL 명령어가 오류가 나는 까닭은 r15가 pc이므로 r0과 r3의 곱을
    리포트 | 44페이지 | 3,000원 | 등록일 2010.10.17
  • SPIM을 이용한 정수 계산기 소스
    msg5:.asciiz" = "msg6:.asciiz "(1)+ (2)- (3)* (4)/ : "msg7:.asciiz "\n다시 하시겠습니까? ... #data segment.datamsg0:.asciiz"★★★★★integer calculator★★★★★\n"msg1:.asciiz"\n첫번째 정수를 입력하세요 : "msg2:.asciiz"원하는 ... \n" 문구출력li $v0, 4la $a0, msg6syscall# "(1)+ (2)- (3)* (4)/ : " 문구출력li $v0, 5# 연산자 값을 받음syscallmove $s2
    리포트 | 2페이지 | 5,000원 | 등록일 2010.06.04 | 수정일 2024.01.29
  • 무한반복 연산
    command %f + %f = %fn, arg1,arg2,arg1 + arg2);}else if (strcmp(cmd,sub) == 0){printf(sub command %f ... - %f = %fn, arg1,arg2,arg1 - arg2);}else if (strcmp(cmd,mul) == 0){printf(mul command %f * %f = %fn, ... 연산#include#includeint main(){char cmd[128];float arg1=0;float arg2=0;for(;;){scanf
    리포트 | 2페이지 | 1,000원 | 등록일 2008.10.28
  • 어셈블리언어 숫자 맞추기 게임 입니다
    --mov al,[MEM_PTR+6]mul clmov bx,axmovzx di,[MEM_PTR+7]inc BL_TBL[bx+di]mov T_FLAG,1con:poparetcheckBound ... ,MAXCOLxor dx,dxmov al,[MEM_PTR+0]mul clmov bx,axmovzx di,[MEM_PTR+1]dec diadd dl,BL_TBL[bx+di];----- ... -----------------------mov al,[MEM_PTR+2]mul clmov bx,axmovzx di,[MEM_PTR+3]dec diadd dl,BL_TBL[bx+di
    리포트 | 1,000원 | 등록일 2008.12.08
  • 내과 사례연구보고서(케이스스터디, 컨퍼런스)
    Blood(-)neg RBC/mul-Negative증가 : 요로계 출혈, 용혈성 질환, 근육손상 등U. ... 길이는 약 91~125cm이며, 지름은 막창자 부위가 약 8.5cm로 가장 크고, 구불창자는 약 2.5cm로 가장 작다. ... Bill(-)neg mg/dL-Negative증가: 황달, 간 실질세포 이상, 간장 질환, 담도폐쇄, 간질환, 혈색소 이상U. urodownarrow(+-)norm0.1-1증가 :
    리포트 | 22페이지 | 1,500원 | 등록일 2014.05.21
  • 공학계산기 레포트.
    프로그램 개요- 프로그램 요구 조건① 기본적인 사칙 연산 수행정수, 실수의 +, -, ×, / 와 같은 기본적인 사칙연산이 가능하며 ‘(’, ‘)’ 괄호를 통한우선수위 연산 또한 가능 ... 저장가능하며, 단일변수 값의 확인 뿐아니라 다른 연산에 직접 사용가능하다.④ 배열 변수 선언 및 사용(배열 값의 총 합, 곱, 평균값, 표준편차 계산을 위한ADD(), MUL(), ... AVG(), STD() 함수와 배열간의 연산함수 제공)AA ~ ZZ 까지 각 배열변수의 배열 값을 메모리 공간 한도 내에서저장가능하며, 위의 ADD, MUL, AVG, STD 함수와
    리포트 | 8페이지 | 1,000원 | 등록일 2010.11.12
  • 스레드를 이용한 행렬 곱셈연산
    (attr2+i, PTHREAD_SCOPE_SYSTEM);pthread_create(tid2+i, attr2+i, mat_mul2,(void *) id2[i]);}break;case ... (attr3+i, PTHREAD_SCOPE_SYSTEM);pthread_create(tid3+i, attr3+i, mat_mul3,(void *) id3[i]);}break;}for ... 이 과제에서는 행렬의 연산 자체가 그렇게 많지 않아서 큰 체감 효과를 느끼지는 못하였으나 대규+i, attr1+i, mat_mul1,(void *) id1[i]);}break;case
    리포트 | 10페이지 | 2,000원 | 등록일 2008.04.20
  • 스택을 이용한 공학용 계산기
    {"add","mul","avg","std"};char *Math3[] = {"atan2","fmod","pow","frexp","ldexp","modf"};void InputArray ... {input[cnt]=tmp;cnt ++;}tmp = getchar(); // 다음 문자를 받아서 tmp에 저장한후 length값을 1증가length ++;}input[cnt]='\ ... *); //괄호의 마지막을 찾아내는 함수double Add(double *); //배열변수 계산하는 함수 4개double Mul(double *);double Avg(double *
    리포트 | 1,500원 | 등록일 2008.12.03
  • PCSpim/Mips 사칙연산 계산기 코드
    :add.s $f12, $f1, $f2 # 값들을 더함j printAnswersubIt:sub.s $f12, $f1, $f2 # 값들을 뺌j printAnswermulIt:mul.s ... .datastr0: .asciiz "\n컴퓨터 구조론 과제"str1: .asciiz "\n\n 첫번째 값 입력: "str2: .asciiz " 연산 입력 (+, -, /, *): " ... str3syscall # str3 출력addi $v0, $0, 6syscallmov.s $f2, $f0 # 두번째 값 읽고 저장addi $9, $0, 0x2bbeq $a1, $9, addIt # +
    리포트 | 2,000원 | 등록일 2010.05.12
  • lab2 산술연산 구현
    해서 저장MUL DL; AL에 (A+B)*B연산을 해서 저장MOV AH, 0; AH의 쓰레기값 제거MOV DH, 10 ; DH에 10 저장DIV DH; 10의 자리 & 100의 자리는 ... 특히 주의해야 할 점은 우리가 입력한 숫자는 ASCII code 이기 때문에 숫자 ‘0’을 뜻하는 아스키 코드인 48을 빼주어 저장해야 한다는 점이다. ... 프로그램 과정① 홈페이지의 masm611 file을 다운로드 하여 설치한다.② 메모장을 열어서 program code를 작성한다.③ asm file 확장자로 저장한다.④ 도스창을 열어
    리포트 | 6페이지 | 1,000원 | 등록일 2010.08.29
  • AST를 다시 UCODE로 제작하는 프로그램
    CLASS 개념을 도입하여, C++로 제작하였다. ... : emit0(sub); break;case MUL_ASSIGN: emit0(mult); break;case DIV_ASSIGN: emit0(divop); break;case MOD_ASSIGN ... emit//아규먼트와, 지역변수를 심벌테이블에 저장.for(stIdx = levelTable[topOfLevelTable-1]; stIdx < AvailIndex; stIdx++)
    리포트 | 42페이지 | 2,000원 | 등록일 2010.11.24
  • 큰정수의 곱셈
    ,u * v = (x * 10^m + y)(w * 10^m + z) = xw * 10^2m + (xz + wy) * 10^m + yz로 나타낼 수 있다.그러므로 이 식은 재귀적으로 ... a : btypedef struct BigInteger // BigInteger 정의{char n[DIGIT]; // 배열선언bool p; // true:음수 , false:양수} ... Mul (BigInteger u, int m); // u를 10^m 만큼 곱하기BigInteger Mul2 (BigInteger u, int m); // u를 10^2m 만큼 곱하기BigInteger
    리포트 | 9페이지 | 1,000원 | 등록일 2007.11.19
  • 이산수학레포트 실수를 원소로 하는 mxn행렬 A, B가 있다. 두 행렬의 곱 C=AB를 계산하는 부프로그램 PRDCT1(A, B, N, C)을 작성하여라.
    i=1; i ... (c_n,b_c_n); mul_output(r_n,c_n,b_c_n);return 0;}void one_metrix_input_element(int r, int c){for(int ... ;void two_metrix_input_element(int r, int c);void mul_output(int r,int c,int c_n);static int first_metrix
    리포트 | 3페이지 | 3,000원 | 등록일 2008.03.30
  • MFC를 이용한 계산기
    );}void CCalDlg::OnButtonMul() {op_count++;calculator(mul);}void CCalDlg::OnButtonDiv() {op_count++;calculator ... 1. source code and explain(1)변수 선언enum {nop, plus, minus, mul, div}; //4칙 연산을 표현하는 enum 값double result ... calculator(plus);//더하기를 계산해 주는 함수 호출}void CCalDlg::OnButtonMin() { op_count++;calculator(minus
    리포트 | 4페이지 | 1,000원 | 등록일 2008.01.08
  • 해외탐방계획서 호주 다문화
    비행기 5,751,500 원 부산 - 호치민 - 시드니 / 시드니 - 호치민 - 부산 1 인당 370,000 + 597,100 (Tax ) X 5 명 = 4,835,500 원 http ... 실시되는 다양한 프로젝트의 종류와 그 실효성을 알아보고 , 타문화 탐방 및 교류 , 시청각 경연 대회 및 행사 , 창작 대회 , 교실 수업 참여 및 참관 등의 각 프로젝트에 참여 Mul ... ://www.onlinetour.co.kr 참고 ( 베트남 항공 이용 ) 유료할증료 불포함 소 계 4,835,500 원 2, 호주 교통 패스 564,000 원 버스 AUD 7 X 4
    리포트 | 20페이지 | 3,000원 | 등록일 2013.06.16 | 수정일 2019.05.22
  • 7장_원자의_전자_구조
    7.4u = e-의 속도m = e-의 질량2pr = nl l =hmup. 214 그림 7.11전자의 이중성(dual property)..PAGE:17l = h/mul = 6.63 x ... 7.9..PAGE:36C 6 electrons부껍) ... 3dn=3ℓ = 1이면 mℓ = -2, -1, 0, +1, 아니면 +25개의 궤도함수에 10개의 e-를 채울 수 있다.7.63 개의 궤도함수ℓ = 2..PAGE:33일전자 원자(수소
    리포트 | 43페이지 | 3,000원 | 등록일 2011.03.11
  • 비안정멀티바이브레이터 보고서 (소감포함)
    목적- 비안정 (astable) multivibrator, 단안정 (monostable) multivibrator, 쌍안정 (bistable)mul-tivibrator, schmitt ... 실험기의+전압을 조정하여 +10V가 되게 하고 그림에 보인 것과 같이 점퍼선의 한쪽 끝을실험기의 접지단자에 접속하고 다른 한쪽은 임시로 그대로 접속 안 된 상태로 두라.1전압을 측정하라 ... Q1의 콜렉터에서의 파형을 관찰하고 이 점에서 폭이 좁은 펄스는 부방향으로천이함을 알게 된다.7) 그림 44-2의 회로를 전자실험기에 구성하고 실험기에 전원을 인가하라.실험기의 +전압을
    리포트 | 10페이지 | 1,500원 | 등록일 2011.05.31
  • Unix Shell Script 실습 과제
    C++에서 쓰이는 형식for ( i = 0 ; i < 10 ; i++){ }. ... 각 변수를 입력하기 위해 아래 명령시 아래와 같이 인자를 입력할 수 있다.class.sh+12$0$2$3$4< 그림 5 위의 분기문의 실행 결과 화면 >- 조건문if [ ]thenelif ... [새로운 명령어 이름] [치환될 명령](3) 쉘 스크립트 프로그래밍- 분기문< 그림 4 분기문 예제 >. case. $1 첫 번째 인자 부호에 따라 각 분기(add min div mul
    리포트 | 10페이지 | 1,000원 | 등록일 2009.05.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대