• 통큰쿠폰이벤트-통합
  • 통합검색(213)
  • 리포트(197)
  • 시험자료(13)
  • 방송통신대(2)
  • 논문(1)

"c++ mul" 검색결과 161-180 / 213건

  • [어셈블리어]직류모터속도제어프로그램
    count(AL 값을 BL로 mov)MOV AX,120 ;AX에 120을 저장(분주율 30720/256 = 120 이므로 256가지 속도조절)MUL BL ;AX와 BL을 곱해서 AX에 ... 만약 출력값 Q가 바뀌게 되면 논리값도 바뀌어 모터의 +, - 단자에 인가되는 전압이 달라져 모터의 회전 방향이 달라지게 된다.따라서 플립플랍의 출력 Q의 값을 0과 1 사이에서 계속 ... 분석8254 타이머를 사용한 모터 속도와 방향 제어 회로도에서 JK 플립플랍에 의한 출력값 Q가 인버터에 에 의해서 출력이 바뀌고, 이 논리값의 변화에 따라 트랜지스터에 의해 모터의 +,
    리포트 | 3페이지 | 2,000원 | 등록일 2007.07.01
  • [C언어]C로 배우는 프로그래밍 기초 5장 연습문제
    ("second input:");scanf("%d",&b);mul=a*b;add=a+b;printf("두 수의 곱은 %d이고,두 수의 합은 %d이다. ... \n",a);return 0;}//5장 B#include int main(){int a,b,mul,add;printf("first input:");scanf("%d",&a);printf ... \n",mul,add);return 0;}#include #include "mydivide.h"int main(){float a,b,div;printf("first input:");
    리포트 | 3페이지 | 1,000원 | 등록일 2004.10.05
  • 계단을올라가는6족개미로봇
    마이컴과 로직용 IC에는 +5V의 정전압이 필요하다. ... A reprogrammable mul능하다.☞ RISC : Reduced Instruction Set Computer(축소 명령어 컴퓨터)CISC(Complex Instruction ... 처리 강력 지원- CPU 설계 단계에서 레지스터 / 메모리 / 명령어가 C 언어에 적합하도록 설계되었다.- C 언어를 사용하면 개발기간 단축 및 유지 보수가 편리하다⑤ ISP(In
    리포트 | 36페이지 | 3,000원 | 등록일 2008.09.28
  • VHDL을 사용한 인스트럭션 수행 simulator 제작
    )-th, (i+2)-th, ... ... SIG_lat_Bin);Add_mux : MUX_8Port map( MUX_sel => SIG_adr_mux,MUX_Din1 => SIG_adr_mux_a,MUX_Din2 => SIG_adr_muL_Din ... Address Information(14-bit)Destination Address Information(14-bit)시뮬레이션 동작과정① Main Memory에서 I-th, (i+1
    리포트 | 31페이지 | 2,000원 | 등록일 2008.11.13
  • Java에 대해서
    add(Fraction f) { /* ... */ }// 덧셈 메소드public Fraction mul(Fraction f) { /* ... */ }// 곱셈 메소드public void ... [예제] 자바의 식별자올바른 이름count 번호 room_123 hakbun# abcde i올바르지 않은 이름123 : 상수를 식별자로 사용함.room+21 : 식별자에 연산자(+) ... 즉, ++i;와 i++;는 같다.
    리포트 | 32페이지 | 1,500원 | 등록일 2007.10.18
  • [통계] SPSS알고리즘을 이용한 야구경기시뮬레이션프로그램[c++]
    (r == 0) return 1.0;if(r < 0) return 1/x * Mul(x, r+1);else return x * Mul(x , r-1);}double GetX (int ... int ML = 9;class Stat{private:double X[ML]; // Value of the variable for case idouble w[ML]; // Weight ... ********************************************************************************************/static const
    리포트 | 15페이지 | 1,000원 | 등록일 2003.12.15
  • 자바프로그래밍[Call By Value를 이용한 사칙연산, Call By Reference를 이용한 사칙연산, 상속성을 이용한 사칙연산]
    (a,b)는 c값만 넘겨받음}int add(int a, int b){int c;c=a+b;return(c);}int min(int a, int b){int c;c=a-b;return ... , int);//함수선언void main(){int a=20, b=10;cout ...
    리포트 | 6페이지 | 1,000원 | 등록일 2003.11.08
  • 서브파스칼 컴파일러 어휘분석기
    ': t->number=DOT; t->value=0; escape=true; break;case SPACE: if (c=='\n') line++; printf("%c",c); break ... =MUL; t->value=0; escape=true; break;case '=': t->number=EQ; t->value=0; escape=true; break;case ';': ... ++]=c;if (yyleng==SYMBOL_NAME_LENGTH-1) {yytext[yyleng]=0;t->number=ID;t->value=getSymbolNumber(yytext
    리포트 | 13페이지 | 1,000원 | 등록일 2005.03.22
  • 8051
    또는 MOVC A,@A+PC 명령을 사용해야 한다.간접주소지정(Indirect Addressing Mode) 방식으로 주소지정MOVC A,@A+DPTRMOVC A,@A+PCMOVC에서 ... 곱셈 명령 MUL AB에서 결과가 FFH를 넘으면 세트되며, 나눗셈 명령 DIV AB에서 제수가 0인 경우에도 세트㉥ PSW.1 : 사용자용 비트㉦ P : 패리티 비트, 누산기 A에서 ... DB(Define Byte), DW(Define Word), DD(Define Double Word) 등의 지시어를 사용하여 선언한 상수를 액세스할 때는 반드시 MOVC A,@A+DPTR
    리포트 | 27페이지 | 1,000원 | 등록일 2007.04.30
  • [자료구조] 연산자
    1) > 0 = truereturn Greater(x-1, y-1); // (x + 1) > (y + 1) = x > y}NatNo Mul(NatNo x, NatNo y){//(Z, ... ; // 0 * y = 0else return Add(Mul(x-1,y),y); // (x + 1) * y = (x * y) + y}NatNo Div(NatNo x, NatNo y) ... ");scanf("%d", &x);printf("y ?
    리포트 | 5페이지 | 1,000원 | 등록일 2003.06.21
  • 구개음화
    + hi + ta/ → 무치다 [muida]② 합성어 내에 있는 파생어 (어근 + 접사)물받이 /mul + pati/ → 물바지 [mulbai]피붙이 /phi + puthi/ → ... (+cns)-+| (-son) | +- +cor -+ +- +high -+| -cnt | → | +high | / ---- | -cns || -vce | +- +del.rel-+ ... ) -+| (-son) || -cnt | +- -ant -+ +-- +high -+| +cor | → | +high | / ---- | -cns || -voice | +- +del.rel
    리포트 | 4페이지 | 1,000원 | 등록일 2005.03.03
  • [마이크로프로세서]8051의 레지스터
    C/T 타이머 또는 카운터 선택. 0 이면 타이머로 동작하고, 1이면 카운터로 동작한다.. ... (비트 어드레싱 가능)곱셈과 나눗셈에서 사용되어지는 연산레지스터예제) MUL AB →Acc와 B의 내용을 곱한 후 B에 곱한 내용의 상위 8BIT, Acc에 하위 8BIT를 저장DIV ... 주소에 데이터 저장 (직접 주소지정방식)PUSH Acc - Acc의 내용을 SP+1에 주소에 데이터 저장 (SFR 영역의 레지스터 이용)6 TIMER표2에 속하는 특수기능 레지스터
    리포트 | 10페이지 | 1,000원 | 등록일 2007.01.17
  • [전자전기 실험] 배정밀 연산
    다시 BCD로 변환할 필요가 있기 때문이다.MOV AH , 0 ;(AH) ←0MOV AL , B+1 ;(AL) ←5DIV AMOV C+1 , AL ;(C+1) ←1MOV AL , ... AL의 값을 C에 저장하고 AH의 값을 R에 저장되면 연산은 끝난다. 따라서 C , C+1의 위치에는 최종적인 몫 17이 저장되어 있고 R에는 나머지 2가 저장되게 된다. ... 몫 1을 C+1 이 라는 위치에 저장하고 AL에는 3을 저장하여 AX에는 0203이 저장되게 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2003.06.26
  • [컴퓨터]컴파일러 6장 연습문제
    E → E + T ⇒ ADD2. E → T3.T → T * F⇒ MUL4. ... CcS ⇒ aAbA(1)⇒ abBCbA(14)⇒ abaBcCbA(146)⇒ ababcCbA(1467)⇒ ababccbA(14678)⇒ ababccbaAb(146783)⇒ ababccbaab ... 좌측 유도 과정에서 생성 규칙이 잘못 적용되었으면 그 생성 규칙에서 보았던 스트링을 다시 검조(scanning)하기 위하여 입력으로 보내고 다른 생성 규칙을 갖고 유도를 시도하는 과정을
    리포트 | 7페이지 | 1,000원 | 등록일 2005.12.06
  • [자료구조]다항식 리스트 구현
    mul = cpadd( mul, m );b = b->link;a = a->link;cerase(&m);}return mul;}/****************************** ... &token );count++;if( count%2 == 1 )coef = token;else {expon = token;attach( coef, expon, &b);b->link ... source, "%f" , &token );count++;if( count%2 == 1 )coef = token;else {expon = token;attach( coef, expon
    리포트 | 6페이지 | 1,000원 | 등록일 2002.12.08
  • [정보이론] gf 곱셈기 나눗셈기 vhdl 설계
    + a3b2temp6 = a3b3c0 = temp0 + temp4c1 = temp1 + temp4 + temp5c2 = temp2 + temp5 + temp6c3 = temp3 + ... ieee.std_logic_1164.all;entity gf16mul isport(in_a, in_b : in std_logic_vector(3 downto 0);out_c : out ... std_logic_vector(3 downto 0));end gf16mul;architecture arch_gf of gf16mul issignal a : std_logic_vector
    리포트 | 12페이지 | 1,000원 | 등록일 2003.06.11
  • [프로그래밍] 더블 스택(Double Stack)을 이용한 공학용 계산기 (ANSI C)
    = {"add","mul","avg","std"};char *function3[] = {"atan2","fmod","pow","frexp","ldexp","modf"};int char_input ... add(double *); //배열인자를 받는함수 4개double mul(double *);double avg(double *);double std(double *);int save ... = '\n'){if (cnt < limit){input[cnt]=tmp;cnt ++;}tmp = getchar();length ++;}input[cnt]='\0';return length
    리포트 | 18페이지 | 1,000원 | 등록일 2004.04.06
  • [컴파일러 입문]제 6 장 3,5,6,7,9,10,12,13,14번 풀이 오세만 연습문제
    T → T * F⇒ mul4. T → F5. F → &P⇒ addr6. F → P7. P → (E)8. ... )*a⑵⑶⑷⑹⑸⑴⑵⇒ (T+F)*a⑵⑶⑷⑹⑸⑴⑵⑷⇒ (T+a)*a⑵⑶⑷⑹⑸⑴⑵⑷⑹⇒ (F+a)*a⑵⑶⑷⑹⑸⑴⑵⑷⑹⑷⇒ (a+a)*a⑵⑶⑷⑹⑸⑴⑵⑷⑹⑷⑹∴ 우파스 : ⑹ ⑷ ⑹ ⑷ ⑵ ... - 한 문장 상태에서 reduce되는 부분⑷ 반복 검조(backtracking)☞ 좌측 유도 과정에서 생성 규칙이 잘못 적용되었으면 그 생성 규칙에서 보았던 스트링을 다시 검조(scanning
    리포트 | 12페이지 | 1,500원 | 등록일 2006.11.23
  • 수치해석 - Curve Fitting
    ax + ax+? ... 만큼 곱해주는 부함수.double multiply(double x, int mul);//// ********************************* Main function ... int p);// Gauss elimination 을 수행하는 부함수.int gauss(double **A, double *B, double *X, int n);// 입력값 x를 mul
    리포트 | 21페이지 | 1,000원 | 등록일 2003.09.05
  • [멀티미디어] H.264/AVC용 Intra coding 모듈의 VHDL 구현
    MF 연산 타이밍 테이블MUL1MUL2MUL3T0OXXT1XXOT2OXXT3XXOT4XXOT5XOXT6XXOT7XOX표 5. ... 그 다음 Round Mode에서 양수는 +0.4를 음수는 -0.4를 더해준다. 이는 양자화를 실행한 후 0에 가까운 값들을 만들기 위해서다. ... H.264/AVC용 Intra coding 모듈의 VHDL 구현최덕영*VHDL Implementation of Intra coding for H.264/AVC디지털 비디오 압축기술은
    리포트 | 4페이지 | 2,000원 | 등록일 2005.06.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대