• 통큰쿠폰이벤트-통합
  • 통합검색(122)
  • 리포트(97)
  • 자기소개서(24)
  • 방송통신대(1)

"fpga 계산기" 검색결과 101-120 / 122건

  • 현대자동차 합격 자기소개서 (2008년 하반기)
    추종하고자 하는 좌표를 계산하기 위하여 스테레오 비전을 이용한 영상처리를 통해 3차원 공간 상에서의 좌표를 인식하였습니다. ... FPGA 기반의 구현에 관한 것입니다. ... 신경회로망 기반의 제어기를 입력 보상방식인 RCT 구조로 설계하였으며, 이를 휴머노이드 로봇 팔의 위치 추종 실험에 적용하여 고전 제어기인 PID 제어기와 FEL 기반의 신경회로망
    자기소개서 | 2페이지 | 3,000원 | 등록일 2009.07.19
  • VHDL을 이용한 IR리모컨 구현및 시뮬레이션과 데모
    프로그래밍하여 송신 회로를 완성한다.버튼을 눌러 수신기에서 정보 송신이 이루어짐을 확인한다.KeywordsIR TC9012 리모컨송신기Ⅰ. ... 전자공학과 20721767( Choi Ah Rang 20721767 )요 약IR 리모컨 송신부의 동작 원리를 이해하고 VHDL 코드를 이용하여 이를 작성한다.주어진 키트를 이용하여, FPGA에 ... 기준으로 state들의 파형길이를 입력하여 Format형식의 데이터정보를 포함하고 있는 파형을 만들어내기 위한부분이다.그림 9.2 Form Maker의 내부 회로여기서 아래와같이 계산
    리포트 | 11페이지 | 4,000원 | 등록일 2010.12.27
  • Nand 연산을 이용한 Xor 게이트 구현과 간단한 Adder 구현
    FPGA와 보드의 핀 연결을 하고 FPGA에 올려서 테스트해본다.□ Nand Gate를 이용한 Xor Gate 설계▶ 회로 분석- 이 회로는 xor 게이트를 논리 게이트를 이용해서 ... 즉, 우리가 1bit full-adder를 이용하여 수 bit의 가산기를 구현한다고 하면, 전체적인 개념은 가산기를 bit의 숫자만큼 병렬로 이어놓은 것이 될 것이고, 각 가산기는 ... 신호가 결과로 얻어지지 않았고, 서 로 다른 신호 X=1 Y=0, 또는 X=0 Y=1을 받았을때에는 결과 값 으로 신호가 얻어질수 있었다.- adder의 구현의 경우 단 1자리의 계산이지만
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.07
  • DAC(design automation conference 2007) 참관기
    보드와 컴퓨터를 연동- FPGA에 맵핑된 설계와 HDL시뮬레이터를 연동ㅇ 적용분야- 하드웨어 가속기- 대용량의 정보를 처리하는 설계의 인터페이스그림-7. ... 선보였다.그림-. 2007 ExpertLCDㅇ 제품특징- 2000년 3차원 TFT LCD simulation 환경을 세계최초로 제공한 이후 3차원 simulation에서 정확도 및 계산 ... H.264 개발 환경ㅇ 제품특징- H.264 디코드를 내장한 ARM/AMBA 시스템 기반 FPGA 프로토타이핑 시스템- H.264 디코드를 기반으로 하는 제품 개발환경- W)
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.03
  • H264/AVC를 위한 디블록킹 필터의 효율적인 구조
    component - 입력 q2, q1, q0, p0, p1에 의해 출력 q'0 생성 - 입력 q2, q1, q0, p0에 의해 출력 q'1 연산 속도 최소화 하드웨어 구현 시, 크기( ... order)Edge FilterGet bS Threshold4x4 TR14x4 TR2page * / 31기능 블록 설계 – Get bS Threshold Unit (1)경계 세기 bS 계산 ... 분석+16x16 매크로블록 필터링을 위해 216 cycles 소요 - Luma : (32x4)+8 = 136 cycles / Chroma : 2x(8x4+8) = 80 cycles FPGA
    리포트 | 30페이지 | 2,000원 | 등록일 2012.01.28
  • [전기전자기초실험] 연산 회로 설계 실험 결과보고서
    계산해보면 최대 지연 시간은 170.3㎱가 되고 최대 동작 주파수는 5.872㎒가 된다.③ 32비트의 덧셈기를 구현할 경우 가장 빠른 동작 속도를 나타내는 덧셈기 찾기- 32비트 덧셈기를 ... 캐리 예측 가산기에서는 입력 오퍼랜드가 결정되면 입력에 의해 결정된,를 이용하여 모든 캐리를 동시에 계산할 수 있다.- 단점? ... 속도만 본다면 CCN이 가장 빠르나 여러 가지 조건을 고려해 보면 CSN이나 CSMT이 유용하다는 것을 알 수 있다.④ MAX+PLUSⅡ>Floorplan Editor를 통해서 FPGA
    리포트 | 8페이지 | 1,000원 | 등록일 2009.07.29
  • pspice 의 사용법에 대한 예비보고서
    그림과 같이 Schematics를 체크하기 바란다.◐ PSpice 사용법PSpice 기본 아이콘DesignLab Eval 8 클릭▷ MicroSim FPG Presentation : FPGA의 ... polyline : 전기적 속성을 지니지 않은 일반 다각도 선 그리기.Place rectangle : 전기적 속성을 지니지 않은 일반 직사각형 그리기.Place ellipse : ... 전기적 속성을 지니지 않은 일반 타원형 그리기.Place arc : 전기적 속성을 지니지 않은 일반 원호 그리기.Place text : 전기적 속성을 지니지 않은 일반 Text넣기.2
    리포트 | 16페이지 | 1,000원 | 등록일 2009.04.15
  • 제9장 연산 회로 설계 실험(결과)
    병렬가산기의 경우에는 단순한 전가산기를 병렬로 연결하여 간편하게 구성 가능 하지만 하위 비트에서 계산된 carry가 다음 상위 비트에 전달되어야 비로소 다음 계산이 시작, 즉, 최하위 ... 그러나 carry look ahead 방법은 비트 계산 전에 비트의 조합에 따라 결정될 carry를 계산하기 위한 추가적인 논리회로가 필요하다.② 4비트 덧셈기/뺄셈기의 최대 경로 ... 다만 저번 학기 수업시간에 썻던 ppt를 참고하니 그렇다고 하네요)④ MAX+PLUS Ⅱ > Floorplan Editor를 통해서 FPGA의 I/O에 설계된 칩의 I/O를 할당하여
    리포트 | 8페이지 | 1,500원 | 등록일 2008.12.29
  • vhdl의 개요 보고서
    CPLD나 FPGA 제조회사에서 제공하는 문서 편집기를 이용할 경우 시각적으로 서로 다른 색깔을 사용함으로써 이를 구분해 주는 경우도 있다. ... 도구의 지원 필요 : VHDL은 하드웨어를 기술해 이를 시뮬레이션하기 위해 만들어진 언어이므로 설계자들의 또 다른 관심사라 할 수 있는 정확한 타이밍의 예측 및 임계 경로 등의 계산이 ... 보통 사용자는 프로그램 편집기에서 이러한 예약어와 식별어를 구분하기 위해 식별어는 대문자로, 예약어는 소문자로 사용하는 방식의 나름대로의 통일성을 가지고 프로그래밍하기도 하고 특히
    리포트 | 3페이지 | 1,000원 | 등록일 2008.06.22
  • VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    ), Sum_s(1)=>tmpSum(1), Carry_s=>TmpCarry(1));-- 최하위 2 bit를 합산하는 과정이다. 4bit를 둘로 나누고, 그 둘을 다시 둘로 나누어 계산하는 ... 얻었고, 또한 Adder기와 Comparator의 기본적인 회로에 대해 다시 한번 제대로 알 수 있었던 좋은 기회였다고 생각한다.# 참고문헌김재철, ISE를 이용한 VHDL 및 FPGA ... 전자전기컴퓨터설계실험IIIPOSTLAB REPORT[M bit 가산기와 비교기]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" 1.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 제 9장 (결과) 연산 회로 설계 실험
    병렬가산기의 경우에는 단순한 전가산기를 병렬로 연결하여 간편하게 구성 가능 하지만 하위 비트에서 계산된 carry가 다음 상위 비트에 전달되어야 비로소 다음 계산이 시작, 즉, 최하위 ... 그러나 carry look ahead 방법은 비트 계산 전에 비트의 조합에 따라 결정될 carry를 계산하기 위한 추가적인 논리회로가 필요하다.② 4비트 덧셈기/뺄셈기의 최대 경로 ... soc.ajou.ac.kr/english/data/ppt/document&data/vhdl/VHDL/VHDL(4.1).ppt)④ MAX+PLUS Ⅱ > Floorplan Editor를 통해서 FPGA
    리포트 | 7페이지 | 1,500원 | 등록일 2007.11.03
  • [전자정보][전자]덧셈기의 설계
    덧셈기의 설계1. ... 개요 (1)디지털 신호처리, 통신 알고리즘 구현의 기본 block : 가산기, 곱셈기Low-PassFilterx(n)y(n)Ex : Digital Low-pass Filter ► 낮은 ... 개요 (3)Low-pass Filter의 구현일반적인 Digital Filter의 구현덧셈기, 곱셈기의 효율적 구현 : 디지털 필터의 성능 결정2. 2의 보수 표현 (1)디지털 시스템
    리포트 | 29페이지 | 1,000원 | 등록일 2005.10.18
  • 컴퓨터의 이해 과목 주요자료정리
    플립플롭을 여러 개 묶어서 설계된 레지스터 및 카운터)데이 제조사에서 독자적으로 규정해서 부르고 있지만, 대체로 1,000 논리 구성체(약 20,000 게이트) 이상의 것을 FPGA라고 ... cpu파이프라이닝 : 처리 사이클의 매단계마다 cpu에 새로운 명령이 입력되는 병렬 처리기법병렬처리파이프라이닝, 다중함수장치어레이 프로세싱 : 매우 막은 규모의 많은 수의 처리요소를 가짐, 계산 ... 가산기, 감산기, 코드변환기, 디코더, 인코더 등).디코더 : 컴퓨터 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로로 인코더의 반대개념으로
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.07 | 수정일 2015.11.03
  • 프로세서의 종류
    Four Phase Systems AL1, F14 CADC)처음에는 Busicom계산기에 사용목적으로 설계됨MCS-4 제품군:4004-CPU4001-ROM & 4 비트 포트4002- ... 신호가 멀티플렉스(multiplex)됨)향상된 Load PMOS 로직10 μm공정 기술에 3,500개의 트렌지스터어드레스할 수 있는 메모리 16 KB일반적으로 더미 터미널, 범용 계산기4004를 ... 데이터/주소 (사용된 슬라이스의 수에 따라 다름)[편집] iPLD:인텔 프로그래머블 논리 장치[편집] PLDs 제품군iFX780-SRMA 옵션이 있는 10ns FLEXlogic FPGA85C220
    리포트 | 38페이지 | 2,000원 | 등록일 2009.06.08
  • 4G 기술 및 성능 분석
    ML 방식은 지금까지 알려진 V-BLAST 검출 방식 중 가장 좋은 성능을 보이지만, 계산량의 증가로 구현의 복잡도가 높다. ... 먼저 디지털 신호처리를 위한 하드웨어는 크게 ASIC, FPGA 그리고 범용 DSP 등으로 구분 가능하다. ... FPGA의 경우 ASIC과 DSP의 장점을 취한 형태라 볼 수 있으며, 최근 들어 SDR 시스템에 활발히 이용되고 있는 추세이다.
    리포트 | 38페이지 | 3,000원 | 등록일 2007.05.20
  • 에너지 효율성 증대를 위한 아키텍쳐의 구성
    어플리케이션을 빠르게 평가할 수 있는 시스템 필요성)Energy efficient Reconfigurable Architecturesproblem Definition (Nop: 사이클마다 계산된 ... 아키텍쳐 -the system level of DART: include memory I/OCluster ArchitectureEach cluster of DART: six RDPs+FPGA ... architecture is considerd as energy efficient (효율적인 에너지 사용을 위한 아키텍쳐 설계) -all data sizes(각각에 필요한 데이터 크기를
    리포트 | 21페이지 | 1,000원 | 등록일 2007.09.17 | 수정일 2015.01.28
  • LG이노텍 합격 자기소개서 (2008년 하반기)
    팔아야 할 아이템을 선정하고, 장소를 선정한 후, 투입될 자본과 인력을 계산하여 판매 물품의 단가를 정하고 친구들과 함께 실행에 옮겼습니다. ... 초등학교 때부터, 전동기가 사용되는 로보틱스 시스템에 많은 관심이 있었으며, 석사 전공 역시 로봇 공학과 가장 근접 하다고 할 수 있는 지능로봇 시스템을 선택했습니다. ... 실력과 안목을 향상시켜갔습니다.대학원에서 지능로봇시스템이라는 세부전공을 선택하면서, 보다 전문적인 과목들을 수강할 수 있었고, 특히, 회로설계의 중요성을 인식하면서 제 연구의 방향을 FPGA
    자기소개서 | 4페이지 | 3,000원 | 등록일 2009.05.09
  • [전자계산기설계] counter
    verilog로 짠 4bit counter
    리포트 | 1페이지 | 무료 | 등록일 2001.11.17
  • [정보통신] 고속데이터 처리를 위한 DCT설계
    이러한 DCT는 실제 영상에서 화소처리는 최소단위인 8*8의 크기를 가진 DCT블록 단위로 처리를 하는데 대부분의 이미지는 8*8블록 크기보다 크기 때문에 DCT변환을 하기 위해서는 ... 이를 토대로 하드웨어 설계언어인 VHDL언어를 이용하여 설계하고 FPGA칩인 XCV1000E에 다운로드 하여 칩 레벨의 시뮬레이션을 수행하여 설계된 DCT 모듈을 검증하였다. ... 따라서 입력값은 여덜 클럭 동안 입력되지만 덧셈기와 뺄셈기는 5 클럭 부터 실행된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2004.10.15
  • 한화화약 합격 자기소개서 (2008년 하반기)
    팔아야 할 아이템을 선정하고, 장소를 선정한 후, 투입될 자본과 인력을 계산하여 판매 물품의 단가를 정하고 친구들과 함께 실행에 옮겼습니다. ... 초등학교 때부터, 전동기가 사용되는 로보틱스에 많은 관심이 있었으며, 석사 전공 역시 로봇 공학과 가장 근접 하다고 할 수 있는 지능로봇 시스템을 선택했습니다. ... 특히, 대학원에서 연구를 하는 동안 DSP와 FPGA에 대한 남다른 이해를 가지고 있으며, 단순한 PID 제어에서부터 비선형 PID 이론 그리고 시스템의 수학적 모델이 없어도 제어를
    자기소개서 | 2페이지 | 3,000원 | 등록일 2009.05.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대