• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(267)
  • 리포트(233)
  • 자기소개서(14)
  • 시험자료(13)
  • 방송통신대(4)
  • 논문(1)
  • 서식(1)
  • 이력서(1)

"디지털 시계 날짜" 검색결과 121-140 / 267건

  • 디지털 시계 (회로도,부품리스트,동작원리,발표자료,이미지)
    디지털 vs 아날로그- 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 그런데 오늘날 현실은 그와 정반대다. 전자 시계는 거의 자취를 감췄고, 다시 아날로그 시계가 대세다. 과연 무슨 일이 벌어진 걸까? ... 통상적으로 시간 단위로 일상의 계획을 짜는 인류의 습성상 이는 굉장히 쓸모 있는 정보이다.
    리포트 | 56페이지 | 1,000원 | 등록일 2011.11.22
  • 산업구조의 수평화와 사례
    부착된 소형 LCD 화면을 통해 각종 정보를 선택해 볼 수 있다고 한다.즉, 날짜변경선을 지나면 자동으로 현재시간을 수정해 준다던가, 운동경기 점수나교통상황, 날씨, 주식시황 등을 ... 새로운 형태의 융합상품과 서비스들이 등장하며 시작되었다.디지털컨버전스가 가장 활발하게 진행되는 분야는 미디어와 통신 분야이다.오늘날, 유무선 초고속 정보통신망의 발달, 디지털 TV의 ... MSN 다이렉트 서비스를 통해 스마트 시계에 저장하는 기술이다.스폿(SPOT), 즉 Smart Personal Object Technology라 불리는 소프트웨어 기술이 결합되어 시계
    리포트 | 7페이지 | 1,500원 | 등록일 2011.11.30
  • 디지털로직실험/최신 디지털 공학 실험2 논리프로브 구성
    이 세 가지 경우의 관찰 결과를 표 2-1에 기록하여라.실험2 보고서이름 : 날짜 : 조 :실험목표 :7404 인버터를 사용한 간단한 논리 프로브(logic probe) 구성.구성된 ... IC 핀은 그림 2-3에서와 같이 상단의 파인 홈이나 1번 핀 바로 옆의 원으로부터 시작하여 반시계 방향으로 번호가 매겨진다.그림 2-4 이번 실험에서의 회로는 간단한 논리 프로브이다 ... 디지털 멀티미터를 사용하여 임계 전압을 측정하고 그 결과를 실험 보고서에 기록하여라.4.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.06.29
  • 2-1)수학-7.시간알아보기-시각 읽기
    시계의 긴바늘이 가리키는 숫자와 분의 관계를 알게 한다.?달력을 보고 날짜와 요일을 알게 한다.? ... 시계를 보고 1시간은 60분임을 알 수 있다.? 시계를 보고 시각과 시각 사이의 시간을 구할 수 있다.? 하루는 24시간임을 알 수 있다.? 달력을 보고 날짜를 알 수 있다.? ... 오전과 오후를 알고 하루의 시간을 나타내게 한다.99(95,96)모형시계4/7달력?달력을 보고 요일과 날짜를 알게 한다.?1 주일이 7 일임을 알게 한다.?
    리포트 | 10페이지 | 1,500원 | 등록일 2011.10.11
  • 디지털로직실험/최신 디지털 공학 실험3 수체계
    이 문제.실험3 보고서이름 : 날짜 : 조:실험목표2진수 또는 BCD(binary coded decimal) 수를 10진수로 변환.BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 ... 보통 사용되는 디스플레이로서 7-세그먼트가 있는데, 이는 시계와 같은 많은 디지털응용에서 사용된다. 이번 실험에서 기본적인 7-세그먼트 디스플레이에 결선하는 방법을 설명한다. ... BCD를 읽을 수 있는 형태로 변환하는 것은 디지털 시스템에서 일반적인 문제가 된다.
    리포트 | 14페이지 | 1,000원 | 등록일 2014.06.30
  • sk 자소서 - 수정본
    한 달간의 노력으로 디지털 시계의 시간, 날짜 등의 알고리즘 코드는 대략 완성할 수 있었습니다. ... 결과는 예상을 적중시켰고, 저희팀은 프로젝트 발표일에 날짜, 알람설정 및 초시계를 탑재한 완벽한 디지털 시계를 선보일 수 있었습니다.다른 팀들의 시계와 달리 완벽한 출력을 보여줄 수 ... 주변에 마땅한 숙소도 없었기에 잠은 차에서 잤고, 제대로 씻지도 먹지도 못한 채 다음 날도 또 그 다음 날도 Lyn을 찾아가 부탁하였습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2011.04.04
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    DATE : 시계날짜를 생성하는 블록. 매 월마다 날짜가 다른것을 고려하였습니다. STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록. ... 시계에서 시간을 생성하는 블록 DATE : 디지털 시계날짜를 생성하는 블록 STOP : 디지털 시계에서 시간을 측정하는 기능을 하는 타이머 블록 SELECTOR : 각 블록에서 ... *디지털 공학 설계프로젝트 팀별(최종발표)*입력, 출력 포트■ 입력포트 Clock : 외부에서 시스템에 제공되는 클럭(100Hz 공급) Switch1 : 시간, 날짜, 타이머, 알람
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 케이스 스터디 case study Rt. ankle achilles tendon rupture
    (시간, 장소, 사람)정상-2) V/S & 진단적 검사 & 투약→ op : Rt. ankle achilles tendon repair (아킬레스건 재건술)(1) Vital sign날짜시간BP ... 자석으로 구성된 장치에서 인체에 고주파를 쏘아 인체에서 메아리와 같은 신호가 발산되면 이를 되받아서 디지털 정보로 변환하여 영상화하는 것을 말한다. ... 주의사항보청기, 틀니, 머리핀, 벨트, 시계, 열쇠, 지갑, 카드, 휴대전화기 등 금속성 소지품은 검사에 방해가 되므로 반드시 별도의 장소에 보관하여야 한다.< X ?
    리포트 | 17페이지 | 2,000원 | 등록일 2016.09.05 | 수정일 2016.10.28
  • EDA LAB-3000 적용한 디지털시계 / 스탑워치
    │미사용 │스탑 ││ │정지 │ │워치 │├───┼───────┼───────┼───┤│리셋│일반모드│현재날짜 ... ───────┼───┤│리셋│일반모드│------│알람│││시설정모드│시증가││││분설정모드│분증가││└───┴───────┴───────┴───┘실습조별 과제로VHDL을 이용한 디지털시계를 ... ─┐│SW1 │SW2 │SW3 │SW4 │├───┼───────┼───────┼───┤│리셋 │시간모드 │일반모드 │시계
    리포트 | 1,500원 | 등록일 2010.11.25
  • 2)수학-7.시간알아보기-5분, 1분 단위로 시각 읽기
    .(4) 달력을 보고 요일과 날짜를 알 수 있다.Ⅳ. ... 시계를 보고 1분 단위까지 시각을 읽어보게 한다.?시각을 보고 시계의 바늘을 그리게 한다.?달력을 보고 날짜와 요일을 알게 한다.6(104쪽)탐구활동? ... 달력을 보고 요일과 날짜를 알게 한다.?1주일이 7일임을 알게 한다.?1년이 12개월임을 알게 한다.97쪽5(102~103쪽)단원평가?
    리포트 | 11페이지 | 1,500원 | 등록일 2011.05.01
  • Spartan 3E Board를 사용하여 디지털 시계 만들기
    Function 구현Additional Function 오류 수정LCD Interface VHDL Module 분석오류 확인 및 개선점 착안Final Project 보고서 작성설계 일정날짜계획 ... 이러한 사회적 요구를 바탕으로 시계를 직접 제작하고자 한다.설계 목적사람들에게 정확한 시간과 실생활에서 시간과 관련된 필요한 기능을 제공하는 디지털 시계를 제작하는데 목적이 있다.구현 ... Spartan 3E에서 발생되는 50MHz의 Clock을 디지털 시계에서 사용되는 1Hz의 Clock과 Debouncing 회로, LCD출력 회로에서 사용되는 1kHz의 Clock을
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • LG전자 자기소개서
    급하게 프로젝트를 시작하게 되었고 제가 구현하고자 했던 디지털시계 기능인 타이머, 카운터, 초시계의 코딩을 마무리 짓고 납땜만 하면 곧장 끝날수 있을거 같았습니다. ... 시계를 만드는 프로젝트를 하였습니다. ... 하지만 평소 어떤 일을 할 때 필요없는 부분까지 꼼꼼하게 따지는 성격탓에 작은 기판에 제가 짠 회로도를 보며 결선도를 하나하나 확인하면서 납땜을 하는것은 생각보다 많은 시간을 지체
    자기소개서 | 3페이지 | 3,000원 | 등록일 2012.03.17
  • CPS 기법과 생활 속의 창의성 - [아이디어 상품 개발]
    특기사항아이디어 제품의 이름탁상용 멀티 알람 시계용도 및 기능(1) 용도알람을 여러개 설정하여 각각의 필요한 날짜 또는 시간대에 맞춰 자동으로 알람이 울리는 시간이 변경된다.(2) ... 기능- 기존 알람시계와는 달리 알람을 여러개 설정 가능- 사용자가 설정한 각 날짜 또는 시간대별로 알람이 울리는 시간이 달라지므로 이것을 LED 조명 색깔로 구분 할 수 있도록 함. ... 자신이 원하는 시간대를 한 번에 맞춰 쓰기 때문에 날짜나 일정 주기 별로 알람 필요 시각에 변경이 생겼을 시 다시 알람설정을 조정하여 사용해야하는 번거로움이 있다.
    리포트 | 13페이지 | 3,000원 | 등록일 2011.06.26
  • 2)수학-시간알아보기-시각을 읽을 수 있어요.
    달력을 보고 날짜와 요일을 알게 한다.?1년과 개월의 관계를 알게 한다.6(104쪽)탐구 활동? ... 시계의 긴바늘이 가리키는 숫자와 분의 관계를 알게 한다.? 달력을 보고 날짜와 요일을 알게 한다.? 1년과 개월의 관계를 알게 한다.♠ 본 차시 평가 계획평가의 관점방법시기? ... 생활 속에서 이용되는 여러 가지 시계를 보고 시각을 읽게 한다.? 바늘이 없는 디지털 시계를 보고 시각 읽는 방법을 알게 한다.?
    리포트 | 10페이지 | 1,500원 | 등록일 2011.10.23
  • VHDL 을 이용한 디지털 시계 설계
    만들었으며며, Stop Watch에서도 이를 똑같이 적용하였습니다.달력 기능의 경우 시간이 23시59분에서 24시로 넘어가게 되어 날짜가 하루 변하게 되면시간과 함께 날짜도 변 할수 ... , 날짜 등을 변경하는 세부적인 설정을 위한 모드입니다.구분MODE1MODE2Set00011011기본적인 시계00초기상태초 설정분 설정시 설정값 증가Stop Watch01초기상태RunRun ... 디지털 시계의 기능1) 기본적인 시계 구현- 기본적인 시계의 경우 8개의 7-Segment를 이용하여 시, 분, 초 1/100초를 각각 2개씩 나타내도록 사용하였습니다.
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • (보육과정) 표준교육과정 일일계획표 및 주간계획안
    오늘의 날짜를 알아볼까요? ... 안녕안녕안녕 선생님 안녕하세요~ 친구들도 안녕~2.인사T:(두 손을 모아)안녕하세요~ 우리 옆에 있는 친구들에게도 안녕~C:(옆 친구들 얼굴을 보며)안녕~3.날짜를 알아본다.T:친구들 ... 일해요시계는 밤이 되도 똑딱똑딱 시계는 밤이 돼도 똑딱똑딱모두들 잠을 자도 똑딱똑딱 쉬지 않고 가지요준비물 우유곽, 200ml, 1000m아날로그시계디지털시계14:00~14:30오후간식1
    리포트 | 8페이지 | 1,500원 | 등록일 2011.11.11 | 수정일 2014.05.25
  • 2)수학-7. 시간 알아보기 - 시각 알아보기(세안)
    달력을 보고 요일과 날짜를 알게 한다.?1주일이 7일임을 알게 한다.?1년이 12개월임을 알게 한다.97쪽5(102~103쪽)단원 평가? ... .③ 1시간=60분, 1일=24시간, 1주일=7일, 1년=12개월을 알고 활용할 수 있다.④ 달력을 보고 요일과 날짜를 알 수 있다.시각과 시간분 단위까지의 시간의덧셈과 뺄셈3학년? ... 생활 속에서 이용되는 여러 가지 시계를 보고 시각을 읽게 한다.? 바늘이 없는 디지털 시계를 보고 시각 읽는 방법을 알게 한다.?
    리포트 | 17페이지 | 1,500원 | 등록일 2012.01.16
  • 스마트웨어(의류) 특징 및 시장현황 [스마트웨어,스마트의류,스마트 웨어,스마트 의류,스마트웨어 시장]
    또 금속 산화물 초미립자를 원단에 코팅시켜 태양에너지 중 적외선과 반응해 스스로 열을 발산하는 기능도 갖추고 있어서, 빛이 있는 날은 물론 흐린 날에도 보이지 않는 빛까지 열에너지로 ... 기기들과 달리 겉으로 티가 나지 않아 소비자들에게 거부감이 덜하다는 장점이 있다.6. ... 소비자’가 증가하고 있는 추세에 맞춰, 활동 시 무겁고 둔한 느낌을 없애고 활동성을 극대화한 아웃도어가 다양하게 등장하고 있다. 5년 이상 자란 성숙한 거위의 가슴털만을 극세사로 짠
    리포트 | 10페이지 | 2,000원 | 등록일 2015.02.01
  • 10주차 결과 전자전기컴퓨터설계실험 3 (2014.05.30)
    -10주차 결과-(Oscillator)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수김희식 교수님담당조교주재홍 조교님제출날짜2014.5.30 -10주차 결과--목차-서론 ... (벽시계, 손목시계, 마더보드 실시간 클럭)3. ... 출력된 출력파형을 정확히 확인 할 수 없으므로 잘 설정한다.(2)Materials of this Lab-Function Generator-Oscilloscope-Breadboard-Digital
    리포트 | 11페이지 | 1,500원 | 등록일 2014.07.01 | 수정일 2014.07.03
  • 8051을 이용한 다기능 디지털 시계 만들기
    ..PAGE:1마이크로 프로세서 응용실험2008. 12. 17다기능 디지털 시계Digital Clock*..PAGE:21. 조 원2. 목 표3. 일 정4. 분 담5. 소 스6. ... (2개까지 가능) 및 각 저장수치 각각Clear가능.ㆍ 모드 3 (DDAY 기능) 구현.- 월/ 일 입력 및 On/Off 가능.- 현재날짜보다 이전날짜일경우 ()로 표현.*..PAGE ... )- 각월에 맞는 날짜 설정 (ex) 2월: 28일까지, 3월: 31일까지- 알람 On/Off 가능*..PAGE:166.
    리포트 | 24페이지 | 10,000원 | 등록일 2009.11.30
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대