• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(267)
  • 리포트(233)
  • 자기소개서(14)
  • 시험자료(13)
  • 방송통신대(4)
  • 논문(1)
  • 서식(1)
  • 이력서(1)

"디지털 시계 날짜" 검색결과 161-180 / 267건

  • 창의공학설계실험 3장 결과보고서
    전기-기계에너지 변환 및 효율실험날짜 : 2012.6.22.금조원(2조) :담당 교수님 : 김태규 교수님학번/이름 :2.1 실험의 목표1) 물체의 밀도에 대하여 설명할 수 있다.2) ... 수집 시간을 조정하려면 메뉴바의 시계 아이콘에서 할 수 있다. 측정 결과는 보고와 차기 분석을 위하여 적절한 제목과 파일명으로 저장하라.? ... 측정범위 -50도C ~ +150도C 스테인레스 디지털 온도계 1개, 최대 측정무게 2kg 해상도 10mg 전자저울 1대, 덕 테이프 1통, 문구용 가위 1개, 높이 50cm 스탠드
    리포트 | 6페이지 | 1,000원 | 등록일 2012.11.05
  • lg이노텍자소서
    한 달간의 노력으로 디지털 시계의 시간, 날짜 등의 알고리즘 코드를 완성할 수 있었습니다. ... 저희가 이 비밀에 대해 알려고 노력하는 동안 2팀은 인터넷에서 소스코드도 사고, 시계옵션을 늘리려고 노력을 했던 것이었습니다. ... 전문가라고 자부할 수 있게 되었습니다.본인의 가장 큰 실패 경험에 대하여'Top Secret'3학년 당시 설계 프로젝트는 VHDL을 이용하여 Spartan Board의 LCD에 시계
    자기소개서 | 3페이지 | 3,000원 | 등록일 2011.04.04
  • 디자인의 이해 ( 시각디자인, 제품디자인 ) 분석
    이 버튼은 총 3단계로 기본적으로 있는 들어가 있는 상태는 고정 상태이고 조금 당기면 날짜를 맞출 수 있으며 조금 더 당기면 시간을 맞출 수 있다. ... 제품디자인 사례 : 스와치 시계에 관하여.시계에는 수많은 디자인이 존재한다. 디지털과 아날로그, 그리고 시계의 줄에 의한 분류로 메탈과 가죽, 플라스틱 등이 있다. ... 이것은 시계를 왼 손목에 차는 사람에게만 편한 부분이지 시계를 오른손에 차는 사람들에게는 다소 불편한 부분이 될 것 같다.
    리포트 | 5페이지 | 1,500원 | 등록일 2010.11.24
  • lg전자최종지원서
    학교 앞 고시원까지 이사를 했고, 한 달간 피나는 노력을 한 결과, 저희 팀은 Spartan Board에 디지털 시계의 시간, 날짜, 초시계가 동작하도록 하는 알고리즘 코드를 완성할 ... 비슷한 동작을 하고, 거기에 추가 기능을 더한 디지털시계를 구현하는 것이었습니다. ... 시간도 1주일밖에 없었고, 우리 팀만큼 완벽한 기능을 하는 시계를 구현한 팀이 없을 것으로 생각하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2011.04.04
  • 창의설계 시계
    · 보통의 전자시계가 가지고 있는 기본적 기능(날짜, 알람, 스톱워치) · OLED를 이용한 Color 액정 표시 · MP3 및 블루투스를 이용한 음악감상 · USB를 이용한 충전 ... 수입되어 시장에 판매되고 있기 때문에 국민들의 애국심을 이끌어내기 위해 국산이라는 것을 강조하여 시장을 공략한다.상세설계컬러 액정에 디지털과 아날로그 시계를 동시에 표현가능하고, MP3 ... 창의 공학 설계 입문목표정의· 작지만 강한 내구성을 지닌 시계 · 사용자와 환경 모두에 안정적인 시계 · 독특한 디자인으로 자신의 개성을 표현할 수 있는 시계- 목적 구성도기능 설정
    리포트 | 15페이지 | 1,000원 | 등록일 2009.11.28
  • 아주대학교 물라학실험1 결과보고서4 구심력
    결과보고서제목 : 구심력자연과학부 0학년 학번 : 000000000 이름 : 000날짜 : 12년 7월 6일 금요일 공동실험자 : 000, 000[1] 측정값 및 결과실험 1물체의 ... 추가 부딪히지 않게 하기 위해 시계방향으로 조심해서 돌려야 했고, 포토게이트 센서에 손이 닿으면 각속도가 측정되지 않기 때문에 손이 닿지 않게 하여 돌려야 했다. ... 하지만 질량도 디지털 저울을 사용하 므로 약간의 계기오차만이 발생할 것이고 이로 인해는 거의 정밀하다고 할 수 있을 것이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2012.08.11
  • 신부수첩(웨딩체크리스트)
    합계 0 0예식장 체크리스트구분 예식장 1 예식장 2 예식장 3 비고업체명위치 및 교통편홈페이지 or 이메일담당자전화번호가능한 예식일자가능한 예식시간 1가능한 예식시간 2리허설 날짜 ... 장소 사용료피로연 음식 메뉴 및 가격피로연 장소 수용인원음악, 장식 계약부대용품 및 사용료예식장 이용조건예식장내 부대시설예식장 이용시 서비스주차공간 및 무료주차 여부계약금잔금 지불날짜총 ... 구입처TVVTR/DVD냉장고오디오세탁기홈시어터전기밥솥청소기전화기믹서기다리미가습기헤어드라이기토스터기커피메이커선풍기에어컨가스렌지가스오븐렌지전자렌지식기건조기식기세척기컴퓨터조명기기(스탠드)디지털카메라벽시계
    리포트 | 40페이지 | 1,000원 | 등록일 2012.09.10
  • Spartan 3 E Board Digital Watch(디지털 시계)
    그리고 이와 더불어 시간, 분, 초의 셋팅 기능 또한 Basic 기능에 해당한다.Optional 기능 동작 설명 우리조가 추가시킨 기능은 현재 날짜의 표시와 날짜 조정기능, 분이 바뀌게 ... 여기서 Basic 기능은 AM/PM, 시간, 분, 초 의 표시로서 기본의 디지털 시계가 지녀야할 기능을 말하고 있다. ... 가장 기본적인 시계의 동작은 이처럼 동작한다. 시계에 대한 자세한 설명은 아래 소스의 주석으로 남겨놓았다.
    리포트 | 66페이지 | 5,900원 | 등록일 2008.08.29
  • 2학년 수학과 < 5 분수 > 세안 지도안
    Ⅰ실태 분석- 수업과 관련된 실태 (조사날짜 : 2011. 6. 1)가. ... 【활동3】여러 가 시계 알아보기???여러가지 류시계봅시- 이 시계-의 이름은?- 디지털 시계의 특징은?여러 가지 시계 알아보기?여러가지 종류의 름을시다.시계의 이름은?
    리포트 | 14페이지 | 1,500원 | 등록일 2012.02.15
  • 제습기
    구성모드 SEC 1 〔파라미터 번호〕① 일반 세팅-〔11〕 : 온도 디스플레이 단위 2=℃, 3=℉중 선택-〔31〕 : 재생 팬 가동 온도 범위 40~60℃중 선택-*** : 현재 날짜 ... 팬과 모터④ 처리공기 필터⑤ 재생공기 필터⑥ 재생히터⑦ 전기 제어 패널⑧ 긴급정지와 메인 절연체⑨ 키 패드와 디스플레이⑩ 로터 구동모터 [MX-series]- DDC(Direct Digital ... 자동 시작/정지(1) 대기모드 상태에서 시계 키를 눌러서 올바른 현재 시간을 확인한다.(2) 자동 시작/정지를 위한 시계 키에 따라서 기능 키를 누른다.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.09.28
  • 생활 속의 그리스 신화
    ○비너스 브레지어Venus는 미의 여신 아프로디테를 가리키는 로마식 이름으로, 시계 상표도 있다. ... 영화○스필버그의 영화 “아라크네”의 비밀아테네 여신과 베짜기 시합을 벌여 신들의 추함을 베에 짜 넣었다가 거미로 변해버린 여인.신들을 기만하는 인간은 무참히 복수를 당한다는 것. ... ○페드라Phaedra옛날 옛날 아테네에 테세우스라는 용맹하고 고독한 왕이 살았다.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.01.13
  • 인터넷 비즈니스와 비즈니스 인텔리전스(BI)
    (Time Variant) - 데이터 일정 기간 정확성 유지 날짜 , 주 , 월 ( 시점별 요소 ) 반영 비 휘발성 (Non-volatile) -DW 에 올바르게 기록되면 변경되지 ... Integrated) 기존 시스템의 데이터 추출 -- 원하는 형태로 변형 -- 통합 분석을 위한 데이터 추출 시 서로 다른 방식의 데이터 표현이 DW 에서는 일관된 방식으로 표현 시계열적 ... 융합 촉진 + 재택근무 가능 + 교통체증 감소 + 투명성 제고 + 직접민주주의 - 개인정보보호 침해 - 온라인거래 신뢰 한계 - 디지털 디바이드 - 일시적 고용 감소등장배경 : 1
    리포트 | 42페이지 | 1,000원 | 등록일 2013.06.03
  • [디지털시스템] Project2 보고서 VHDL을 이용한 Digital Clock Mode Generator (소스포함)
    일반적인 전자시계의 경우 특정 버튼을 누르게 되면 시간이나 날짜, 스톱워치나 시간이나 날짜 변경 등을 할 수 있다. ... Digital Clock Chip 에서 사용되는 MODE_GEN 을 설계하고자 한다. ... 1 Introduction 이번 실습에서는 digital clock chip 에 있어 사용되는 mode generator 를 VHDL(VHSIC Hardware Description
    리포트 | 13페이지 | 3,500원 | 등록일 2008.07.07
  • 물리실험 리포트 6. 회전관성2 - 북스힐
    회전관성 Ⅱ실험날짜 :제출날짜 :학 과 :학 번 :성 명 :1. 목적링과 원반의 회전에 의한 관성모멘트를 측정하여 관성 모멘트 개념을 이해한다.2. ... 그러므로 토오크는: 아래의 해법은 위는 양적(+)이고 아래는 음적(-)이며, 반시계방향은 양적(+)이고 시계방향은 음적(-)인 관례로부터 이끌어냈다. ... 실험방법(1) Seting① 인터페이스의 디지털채널1에 스마트폴리를 연결하고 전원을 켠다.② 컴퓨터의 전원을 켠다.③ 회전관성2.ds 파일을 열어라(2) 관성모멘트 측정1) 축 자체의
    리포트 | 7페이지 | 1,000원 | 등록일 2010.05.08
  • 심장수술환자의 간호
    불안 감소1)수술후 환자가 깨어나자마자 주위 환경에 대한 오리엔테이션을 준다 : 환자가 있는 장소, 2)날짜와 시간과 담당 간호사의 이름을 이야기함3)환자 상태가 안정되면 가족이 환자를 ... 등)의 소인이 될 수 있는 심혈관계 질환의 과거력- 심장 기능의 손상정도(예:증상이 안정 시에도 나타나는지, 운동 시에만 나타나는지)- 과거 또는 현재에 받았던 치료의 종류(예: 디지털리스 ... 이는 환자의 신체상태가 좋아지면 회복이 가능한 부분이므로 이 시기에 사고가 발생하지 않도록 주의한다.- 주변 환경에 시계와 달력을 배치하여 지남력을 유지하도록 하고 시야에서 벗어나지
    리포트 | 7페이지 | 1,500원 | 등록일 2013.07.17
  • AVR 디지틀 시계(C사용)
    고찰지난 학기 8051 실습 때 어셈블러로 디지털 시계를 하던 때 고찰에 C로 한번쯤 같은 프로그램을 짜보고 싶다고 했던 적이 있었는데 이번 AVR로 인해 그 내용을 실행하게 되었다 ... LCD를 이용한 8515 디지틀 시계.1. 전체 구성초기화 -> 시간출력 -> 시간처리 -> 달력처리2. ... 시간의 오차는 약 1시간에 10초 정도이다.전체적으로 이번 AVR 디지털 시계는 지난 8051로 만들던 때보다 간단히 구현 하였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.07.07
  • 표준의 표준, 시간
    ISO 8601날짜와 시간의 표기에 관한 국제 표준 규격 : ISO 8601에 따른 현재 시각 (UTC) 2009-03-30T13:38:20+00:00 협정 세계시(UTC) :1972년 ... 따라서 디지털 신호의 확실한 전송을 위해 송·수신 클럭의 시간간 격과 시각을 일치시키는 동기화가 필수적! ... 즉, 특별한 어떤 시계가 더 정확한 시간을 생성한다는 것을 증명하려고 노력하는 대신에 임의의 어떤 시계를 선택하고 그 시계에서 나온 신호를 이용하여 일초라고 정의하고 모두가 이에 동의하는
    리포트 | 17페이지 | 1,000원 | 등록일 2009.08.09
  • 키르히호프 법칙 (Kirchhoff`s Law) 실험 결과보고서
    전압배율기 및 전류뷴류기의 계측 원리를 배운다.실험날짜2010/03/16실험재료· 멀티심 1카피 & PC· 직류전원공급장치 1대· 디지털 또는 아날로그 멀티미터 1대· 브레드보드· ... 이 법칙을 구체적으로 써먹어보면, 먼저 회로 안에서 전류가 도는 방향(시계방향 또는 반시계방향)을 정하고 그 방향으로 돌아가는 기전력 E와 전압강하 IR의 부호를 정합니다.
    리포트 | 5페이지 | 3,000원 | 등록일 2011.04.05 | 수정일 2014.01.21
  • vhdl을 이용한 디지털시계(digital clock) 구현
    제가 직접 프로젝트 발표하기 위해 성의껏 만든 자료들입니다.디지털 시계의 핵심적인 기능만 넣었습니다.즉, 시계기능, 시계설정기능, 날짜기능, 날짜설정기능...받으셔서 바로 사용하실 ... 날짜모듈 날짜설정모듈 입력제어모듈 출력제어모듈 통합모듈필요한 분들이 다운 받으셔서 잘 사용하셨으면 ... vhdl을 이용하여 디지털 시계를 구현한 것입니다.총 8개의 세그먼트로 출력되구요.프로그램은 flowrian 이용하였습니다.멕스 플러스 쓰시는 분들은 멕스 쓰셔도 무방합니다.본 자료는
    리포트 | 41페이지 | 6,000원 | 등록일 2006.12.22
  • 보의처짐실험
    고체역학 실험(보의처짐실험)실험 날짜 : 2009.05.191. ... 회전각 는 x축으로부터 시계방향일 때 양(+)3. 처짐 v는 하향일 때 양(+)4. 휨모멘트는 보의 상부를 압축시킬 때 양(+)5. ... 디지털 처짐 측정기5. 보의 크기 : 3 19 650(mm)6. 각 보의 Young's Modulus황동:105GPa, 알루미늄:69GPa, 철:207GPa2.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.11.06
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대