• 통큰쿠폰이벤트-통합
  • 통합검색(6,299)
  • 리포트(5,386)
  • 자기소개서(636)
  • 시험자료(133)
  • 논문(76)
  • 방송통신대(41)
  • 서식(17)
  • 이력서(5)
  • ppt테마(3)
  • 기업보고서(1)
  • 노하우(1)

"모터제어" 검색결과 121-140 / 6,299건

  • 스텝모터제어 결과 보고서
    이는 비트 4가 Step motor제어하도록 설정해 주는 것 입니다. ... Port1의 P1.0~P1.3에 의한 스텝 모터 제어가 아닌 외부확장 헤더에 연결된 장치(키보드) 를 이용해서 스텝모터제어하기 위한 상태보드 레지스터 설정 입니다.키보드 버튼의 ... 험성명공동실험자학번제출일자성명스텝모터제어(스텝모터의 구동)□ 스텝 모터의 구동에서 각 상들이 동작하기 위해 왜 그렇게 설정을 해주었는지 설명하시오.SRRW1 &= ~0x10;void
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • 스텝모터제어 예비 보고서
    이는 비트 4가 Step motor제어하도록 설정해 주는 것 입니다. ... 험성명공동실험자학번제출일자성명스텝모터제어(스텝모터의 구동)□ 스텝 모터의 특성과 종류 및 구동원리에 대해 설명하시오.- 회전 각도는 입력펄스의 신호수에 비례하여 정해진다.- 회전속도는 ... 만약 감속기를 사 용한다면 더욱 정밀한 제어가 가능하다.- 스텝모터에 펄스가 입력되지 않으면 일정한 위치를 유지한다.
    리포트 | 2페이지 | 2,000원 | 등록일 2012.06.26
  • dc모터 회전수 제어
    < DC모터 회전수 제어 >1. 실험 제목-LabView를 이용한 DC모터 회전수 제어2. ... 모터입력부와 엔코더출력부를 ELVIS 장비에 연결한 다음, LabView 프로그램을 이용하여 DC모터 회전수를 제어한다.3. ... 블록다이어그램을 완성한 뒤 모터구동을 할 때의 전압을 변화시켰는 데 모터는 도는 데 그>
    리포트 | 13페이지 | 1,500원 | 등록일 2011.05.08
  • 자동제어실험 (예비레포트) - Analog 입력제어모터제어
    실험제목 : Analog 입력제어모터제어3. 실험목적1. Analog 입력 포트 제어에 대해 이해한다.2. Analog 입력 관련 레지스터에 대해 이해한다.4. ... // 변환된 값의 High-bytead_value_lo = ADRESL; // 변환된 값의 Low-byte}}5.2 연습문제 (온도센서,광센서,potentiolmeter를 이용한 모터속도제어
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.25
  • DC 모터 속도제어 및 측정 예비보고서
    제어기는 모터의 속도를 측정하지 않고 제어하는 개루프 제어기(open Loop controller)와 모터의 속도를 축정하여 제어하는 폐루프 제어기(closed Loop controller ... 예비보고서 DC 모터 속도 제어 및 측정-목적-(1) DC 모터의 특성을 이해한다.(2) 555타이머를 이용한 PWM 변조 방식을 이해한다.(3) PWM변조를 이용한 DC 속도 제어 ... -이론-DC 모터의 속도 제어기는 요구하는 속도를 만족시키기 위한 신호를 생성하고 이를 이용하여 모터를 구동한다.
    리포트 | 11페이지 | 1,500원 | 등록일 2014.11.21 | 수정일 2015.10.21
  • [기계공학실험] 랩뷰 pid 모터제어 레포트
    *출처*http://www.ktechno.co.krDC모터의 원리경기대학교 기계공학과 / 월간 자동화 기술[P제어기]1) PID 제어란.비례-적분-미분 제어기(Proportional ... -회전제어가 쉽고, 제어모터로 우수함.2) DC모터의 특성- 기동 토크가 크다- 인가전압에 대하여 회전특성이 직선적으로 비례한다- 입력전류에 대하여 출력 토크가 직선적으로 비례하며 ... PID 제어기는 기본적으로 피드백(feedback)제어기의 형태를 가지고 있으며, 제어하고자 하는 대상의 출력값(output)을 측정하여 이를 원하고자 하는 참조값(reference
    리포트 | 5페이지 | 1,000원 | 등록일 2015.01.09
  • 자동제어실험 (예비레포트) - Analog 입력제어모터제어
    실험제목 : Analog 입력제어모터제어3. 실험목적1. Analog 입력 포트 제어에 대해 이해한다.2. Analog 입력 관련 레지스터에 대해 이해한다.4. ... // 변환된 값의 High-bytead_value_lo = ADRESL; // 변환된 값의 Low-byte}}5.2 연습문제 (온도센서,광센서,potentiolmeter를 이용한 모터속도제어
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.24
  • 자동제어실험 (결과레포트) - Analog 입력제어모터제어
    그 이유는 모터제어하는 변수가 빛이 있냐 없냐 두 개밖에 모터제어하는 변수가 없으므로)6. ... 이상적으로 모터제어할수있었다. ... (단 빛센서를 이용한 모터제어는 10이하, 40이상 이두개만 하면 제어할 수 있다.
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.25
  • PWM을 이용한 스텝모터제어
    일반적으로 기계적인 이동량을 정밀하게 제어하는 일에 DC servo motor나 stepping motor가 많이 사용되고 있으며, 특히 pulse에 의해 digital적으로 제어하는 ... Stepping motor는 AC servo, DC servo motor에 비하여 값이 싸고 정확한 각도 제어에 유리하여 각종 OA, FA 장비에 널리 사용되고 있다. ... 펄스폭을 전송하고자 하는 신호에 따라 변화시키는 변조 방식을 PWM방식이라 하며, 모터 제어나 전압제어에 많이 사용된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.09.24
  • 자동제어실험_결과_아날로그 입력제어모터 제어
    실험제목 : 아날로그 입력제어모터 제어3. ... 결과분석 및 토의이번 실험은 아날로그 입력제어모터 제어에 대한 실험이었다. 외부 아날로그 입력을 받아서 A/D Converter를 이용하여 모터제어하는 것이었다. ... - A/D Converter를 이용하여 모터제어하여 본다.4.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.07.17
  • pwm 을 이용한 모터 제어
    각 주차에 따른 교육 내용따라서 우리는 그 기능을 십분 발휘 할 수 있는 모터 제어를 하기로 하였고 그것을 위해서는 아래의 기능들을 이용하여 Wave motor 프로젝트를 수행할 수 ... 포터커플러(TLP250)1.2 실험 목적- 80C196을 이용하여 배웠던 이론 및 기능들을 토대로 간단한 모터제어를 위한 응용회로를 구성하여 원하는 출력으로 모터제어한다.1.3 ... 3조 ProjectWave Motor1. 서론1.1 사용 부품1. 80C196KC 교육 kit2. 영구자석 소형모터 (구동전압: 24V , 정격전류 : 1.3A)3. LCD4.
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.11
  • PWM을 이용한 스텝모터제어
    PWM를 이용한 스텝모터제어1. 실험 목적스테핑 모터란 Step에 의해 구동되는 모터이다. ... 펄스 폭을 전송하고자 하는 신호에 따라 변화시키는 변조 방식을 PWM방식이라 하며, 모터 제어나 전압제어에 많이 사용된다. ... 스테핑 모터는 pulse에 의해 digital적으로 제어하는 것이 가능하므로 마이크로 컨트롤러에서 사용하기에 적합한 모터이다.본 실험에서는 PWM을 이용해서 스텝모터를 구동해 보고,
    리포트 | 5페이지 | 1,000원 | 등록일 2010.10.16
  • DC모터 속도 제어
    DC모터(DC Motor)고정자로 영구자석을 사용하고, 회전자(전기자)로 코일을 사용하여 구성한 전동기로, 전기자에 흐르는 전류의 방향을 전환함으로써 자력의 반발, 흡인력으로 회전력을 ... 서보모터(Subo Motor)서보시스템은 물체의 위치·방위·자세 등의 변위를 제어량(출력)으로 하고, 목표 값(입력)의 임의의 변화에 추종하도록 한 제어계로서 보 메커니즘이라고 불린다 ... DC모터, 서보모터, 스텝모터 조사1.
    리포트 | 11페이지 | 1,500원 | 등록일 2009.05.20
  • PWM을 이용한 스텝모터제어
    이때, 그림의수치는 전체 PWM 주기와 펄스폭의 비율을 퍼센트로 표시한 것이다.그림3 PWM의 변조방식(2)스테핑 모터(Stepping motor)스테핑 모터는 Step에 의해 구동되는 ... 제어하는 스텝모터 제어장치에 관한것이다. ... 결국 스텝모터의 구동신호를 상황에 따라 강여자 및 약여자로 인가함으로써, 스텝모터를 정확히 제어할 수 있다.⑤차량 시트제어장치가변저항을 이용해 스텝모터를 일정하게 구동시킴으로써 운전자가
    리포트 | 7페이지 | 1,000원 | 등록일 2010.07.12
  • simulink를 이용한 motor(pmsm)속도제어
    전기기기 및 제어설계Simulink를 이용한 Motor 속도 제어y?조 원 :?교수님 :목 차1. 서 론 설계내용 및 목적 22. ... 서 론○ 가전제품, 컴퓨터 및 멀티미디어 장치, 자동차, 로봇, 인공위성 등여러분야에서 모터는 필수적으로 적용 된다. ... 쪽으로 흘러들어가게 되고 motor가 Y 연결이라고 가정하면 motor에서 3번과 4번, 5번과 6번 사이로 전류가 나오게 됩니다. (1,2), (3,4), (5,6)은 쌍을 이루어
    리포트 | 20페이지 | 무료 | 등록일 2012.10.17 | 수정일 2013.11.14
  • 2017 경북대학교 전기전자실험 모터제어,로보틱스 A+보고서
    이제부터 알아볼 모터는 4가지 종류이며 각각은 DC motor, BLDC motor, Step motor, Servo motor 이다.먼저 DC 모터의 구성요소를 보면Stator, ... 간단히 말하면 속도 제어를 중점으로 하기 떄문에 BLDC 모터는 SERVO 모터보다 훨씬 싸다.6.STEP모터와 SERVO모터: SERVO모터는 위치제어 속도제어 및 토크제어 등을 ... 일반적으로 위치제어와 속도제어를 하는데 사용된다. SERVO모터를 이용해서 토크제어를 할 수 있는데 토크 조절용모터가 따로 존재하기 때문에 SERVO모터로는 사용하지않는다.
    리포트 | 20페이지 | 1,500원 | 등록일 2017.12.30
  • 모터 위치 제어 시스템 모델링 및 제어기 설계
    모터 위치 제어 시스템모델링 및 제어기 설계목 차1. ... 우리는 모터위치 제어 시스템 모델링 및 제어기 설계를 직접 해봄으로써 제어 시스템을 이해하고 분석한다.2) 설계 목적모터 위치 제어 시스템을 모델링 및 제어기를 Cm=CL=La= 0의 ... 이번 시간을 통하여 모터위치 제어 시스템 모델링 및 제어기 설계를 직접 해봄으로써 PD제어기에 대하여
    리포트 | 20페이지 | 2,000원 | 등록일 2011.12.22
  • 9장:DC 서버 모터 제어 실험(결과)
    DC 모터의 토크를 결정하는 식을 유도 하시오.① 토크란 무엇인가?모터 등의 선택시에 필요하게 되는 파라미터 가운데서 "토크"라고 하는 것이 있다.
    리포트 | 17페이지 | 2,000원 | 등록일 2013.05.18
  • [공학]모터제어
    PID 제어는 비례제어, 적분제어, 미분제어를 단독으로 쓰거나 혹은 두 가지 이상을 결합한 형태로 사용한다.비례(P)제어는 PID제어기에서 반드시 사용하는 가장 기본적인 제어이며 구현하기가 ... 문제점 : 비현실적인 가정을 전제로 이루어짐.② 폐로제어(Closed-loop control)폐로제어는 플랜트의 출력을 입력단에 되먹여서 제어에 활용하기 때문에 되먹임제어(feedback ... 대비하여 고전적 제어기라고 부른다.PID제어기는 구조가 간단하고 제어성능이 우수하고 제어이득 조정이 비교적 쉽기 때문에 산업현장에서 약 80% 이상을 차지할 정도로 많이 사용되고
    리포트 | 5페이지 | 1,000원 | 등록일 2007.09.06
  • Brushless DC Motor의 속도 제어
    學 士 學 位 論 文Brushless DC Motor의 속도 제어嶺南大學校電氣工學科電氣工學 專攻○ ○ ○指導敎授 ○ ○ ○2008年 12月목 차1. ... DC 모터(Shunt-Wound Brushed DC Motor) ‥‥‥‥‥‥‥‥‥ 52.2.3 직권형 DC 모터(Series-Wound Brushed DC Motor) ‥‥‥‥‥‥ ... 특성제어기 필수고정 속도 - 제어기 불필요가변 제어 - 제어기 필요 BLDC 모터와 DC 모터의 특성 비교특성BLDC 모터교류 유도 모터 (ACIM)토크/속도 특성정격 속도구간에서
    리포트 | 54페이지 | 9,000원 | 등록일 2010.07.11 | 수정일 2016.05.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대