• 통큰쿠폰이벤트-통합
  • 통합검색(909)
  • 리포트(879)
  • 시험자료(17)
  • 논문(5)
  • 방송통신대(5)
  • 자기소개서(3)

"클럭 주기" 검색결과 121-140 / 909건

  • FPGA구조와 ASIC 설계 방법 실험 레포트
    논리 블록에는 룩업 테이블을 위한 4개의 입력과 클럭 입력이 있다. ... 캐던시 디자인 시스템, 시놉시스, 셀록시카 같은 회사들은 전통적인 하드웨어 기술 언어를 사용하여 가능한것보다 FPGA 설계 주기를 더 빠르게 가능한 병행 모델을 갖는 높은 수준 언어를 ... 클럭 신호 (와 높은 팬 아웃 신호)는 일반적으로 특별용도 전용 라우팅망을 통하여 연결되고 다른 신호는 분리하여 관리하기 때문이다.프로그램이 가능한 내부선 계층구조는 FPGA의 논리블록을
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오
    일단 아날로그 클럭은 시각이 다이얼 주위를 이동하는 포인터이다. 눈금이 표시되면 바늘이 위로 이동하여 시간을 표시한다. ... 제품에도 이런 주기가 있지만 사람이 태어나서부터 죽을 때까지 제품에도 이런 주기가 있다. 이것을 제품 라이프 사이클이라고 부르며, PLC라고 약칭한다. ... 이러한 PLC(Product Life Cycle, 제품수명주기)는 도입단계. 성장단계, 성숙단계, 쇠퇴단계로 나눌 수 있다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.04.26
  • [장원] 운영체제 - 주기억장치와 보조기억장치 (과제만점)
    위해서는 추가적인 회로가 필요하고 이 때문에 프로세서가 메모리를 참조하는 과정이 지연될 수 있다는 특징도 있다.SDRAMSDRAM은 DRAM의 발전된 형태로서 시스템 내에 존재하는 클럭을 ... 이 때 Capacitor 배열은 전하를 금방 방전해 버리는 특성을 가지고 있기 때문에, DRAM의 경우에는 일정 주기로 재충전을 해주어야 한다. ... 본 과제에서는 내부 기억장치 중 주 기억장치와 외부 기억장치의 보조기억장치에 대해 설명하고 근래 주목받고 있는 주 기억장치에 대한 리서치 결과에 대해 공유하며 진행하도록 하겠다.주기억장치주
    리포트 | 4페이지 | 2,000원 | 등록일 2022.06.26 | 수정일 2022.08.07
  • 컴공분들 학기 초반에하시는 컴퓨터 구성요소 과제입니다 첫시간 과제라 간단하게 만들었습니다
    주기적으로 전하충전이 되기 때문에 데이터 유실을막을 수 있으며, SRAM처럼 사용할 수 있습니다.- SDRAM (Synchronous DRAM)100MHz 이상의 버스 속도를 유지하는 ... 시스템버스 클럭의 Rising edge와 Falling edge를 동작시켜 같은 속도, 같은 시간내에 2배의 데이터를 보낼 수 있습니다. ... 시스템의 클럭속도(보통은 CPU의 속도)와 동기화하여 동작할 수 있기때문에 CPU가 동작할 때 DRAM도 함께 움직여 CPU가 수행할 수 있는 명령어를 증가시킴으로써 최고의 효율로
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.01 | 수정일 2021.05.04
  • [아날로그 및 디지털 회로 설계실습] 예비보고서6
    PLL로 위상을 맞춘다는 것은 디지털 회로에서 클럭 동기화가 목적이다. ... 따라서 클럭의 시작과 끝을 정확히 맞춰주는 동기화(synchroniztion)시켜주어야 한다. ... 주기는 2pi 이기 때문에 위상차가pi 를 넘으면 linear하게 감소하기 시작한다.위상차에 따라 그래프를 그려보았다.3.4 위상제어루프 설계(a) 그림 6-2의 회로를 Simulation
    리포트 | 12페이지 | 1,500원 | 등록일 2022.09.14
  • 서울시립대 전전설2 Lab-06 예비리포트 (2020 최신)
    N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... 그리고 Din=1이 유지되고 있는 상황에서 다시 CLK가 rising하는 주기가 왔다고 하면 첫번째 플립플롭에서는 마찬가지로 1이 Q0로 출력된다. ... 이런식으로 총 4번 clock이 rising하면 네 비트 모두에 1이 출력된다.동기식 계수기는 clock이 인가될 때마다 값을 증감하는 회로로 주파수 분주기, 타이밍 제어신호 생성
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-06 결과리포트 (2020 최신)
    N비트의 SIPO 레지스터는 n개의 클럭이 발생하는 데이터에 의해 병렬 데이터가 결정되며 shift register와 같은 동작을 한다.아래는 4bit SIPO의 예이다.CLRN=0일 ... 그리고 Din=1이 유지되고 있는 상황에서 다시 CLK가 rising하는 주기가 왔다고 하면 첫번째 플립플롭에서는 마찬가지로 1이 Q0로 출력된다. ... 이런식으로 총 4번 clock이 rising하면 네 비트 모두에 1이 출력된다.동기식 계수기는 clock이 인가될 때마다 값을 증감하는 회로로 주파수 분주기, 타이밍 제어신호 생성
    리포트 | 21페이지 | 1,500원 | 등록일 2021.09.10
  • 10주차-실험21 예비 - 메모리 회로
    클럭 스위치 SW 6을 HIGH로 설정하고 이때의 ROM 출력을 기록하라.? 실험 ?, ? ... .⇒ DRAM은 기본적으로 단일 transistor의 capacitor구조로 되어 있어 전원 공급이 있어도 discharge가 발생하여 주기적으로 현재의 state를 읽어서 다시 복구 ... 프로그램 주소 카운터로 사용되는 2개의 플립플롭은 CLEAR 시키고 클럭 입력 SW 6을 LOW로 설정한 후 ROM의 출력을 기록하여라.?
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.02
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서9
    페이즈 지터는 PCM 송신기에서의 오리지날 클럭 신호와 PCM 수신기에서의 샘플로 사용된 클럭 신호의 펄스 스트림 사이의 차이의 결과 이다. ... 신호의 디스토션이 확실한 범위 안에서 유지될 때, 교정 신호 레벨의 일체화가 유지되는 것이 가능하다.■ Eye DiagramPCM파형을 오실로스코프의 Time domain 상에서 주기
    리포트 | 12페이지 | 1,500원 | 등록일 2021.10.24
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    하지만, 대부분의 모듈은 한 클럭에 한 개의 숫자만 켜지는 동적인 방법을 사용하므로 클럭마다 8개의 신호(a~g)값을 각 자리에 맞는 값으로 바꾸면 8개의 신호만으로도 모든 숫자를 ... 이를 약 1ms 이상의 주기로 반복하면 잔상효과에 의해 "1234"의 숫자가 표시된다.실험방법기본 7-Segment 블록 다이어그램(선택사항) 가산기 + 7-Segment 블록 다이어그램 ... SevenSeg_CTRL u3(CLK, nRST, {A1,B1,C1,D1,E1,F1,G1},{A2,B2,C2,D2,E2,F2,G2},0,0,0,0,0,0, SEG_COM, SEG_DATA);CLK=클럭
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 부산대 어드벤쳐디자인 1장 예비보고서
    클럭 속도은 16MHz이다. USM 호스트 칩은 MAX3421E이다. ... 보면 자세하게 알 수 있다.디지털 신호와 아날로그 신호의 차이에 대하여 서술하시오.아날로그 신호의 특성으로는 일정 기간동안 변하는 연속파라는 점, 사인파로 표시된다는 점, 진폭, 주기
    리포트 | 7페이지 | 1,000원 | 등록일 2023.04.03
  • [atmega128]마이크로프로세서 ADC결과보고서
    이것이 제일 중요한 이유이다.위 그림은 아날로그 신호를 샘플링 클럭 주기의 일정 간격의 값을 추출해 표본으로 샘플을 가져 표본화를 시킨 것이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.01.01 | 수정일 2021.02.22
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    Piezo는 특정 주기클럭 소스를 인가할 경우 멜로디를 출력하는 장치로 10KHz~1Hz 클럭소스를 사용해 다양한 멜로디를 들을 수 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 논리회로설계실험 스탑와치(stopwatch) 레포트
    RoV-Lab3000의 경우에 대하여 4Mhz의 클록을 가지고 있다. clk_chat으로 신호를 채터링하고, 설정된 clk_chat으로 디바운싱 코드를 구현하였다.clk 코드디바운싱코드에서 사용할 클럭 ... 따라서 분주기 설정에 대하여 알아보겠다. 분주기 설정은 하드웨어 내부의 클록에 대하여 다른 주기를 가지는 또 다른 클록을 만들어 내는 것이다. ... 주파수 분주기의 원리는 아래의 시행착오에 설명해두었다, 아래 그림은 주파수 분주기(1/10)의 원리이다.자릿수올림에서 십의자리 일의자리가 각각 작동해야 하므로 (3 downto 0)
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 컴퓨터일반 전자 계산기 구조
    주파수(Hz): 1초에 실행된 명령어 개수클럭 주기: 하나의 클록 수행에 걸리는 시간 (1/Hz)CPI (Clock Per Instruction) >높을수록 시간 오래 걸림, 성능 ... 작업에 대한 반응 시간 (대화형)- MIPS: 초당 실행가능한 백만개의 명령어 수- FLOPS: 초당 실행가능한 부동 소수점 연산 수- 반환시간: 응답시간 + 출력시간 (일괄처리)클럭
    리포트 | 7페이지 | 2,000원 | 등록일 2020.11.21
  • 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    따라서 이런 회로를 분주기라고도 부른다.2.4. ... Counter카운터(counter)란 클럭 펄스를 세어서 수치를 처리하기 위한 논리 회로이다. ... 처음부터 각각 리셋, 메인클럭 1㎒, LCD 인에이블, LCD 레지스터 선택, LCD 데이터, 버튼 스위치 1 ~ 16, 피에조, LED와 연결된다.또한, 디지털 시계의 작동을 위해
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 서울시립대학교 전전설2 7주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    input_sync가 1이 되는 형식으로 만들었습니다.그리고, 한 주기의 출력이 발생하면, 무조건 다음 주기는 출력이 0이 되도록 설정을 해주었습니다.부족한 점이 있다면, 연속적인 ... 수행 과제(1) Lab 0- Moore/Mealy 머신을 디자인할 때 입력을 Button SW를 사용하기 위하여 비동기적(asynchoronous)인 버튼 입력(in)으로부터 한 클럭 ... 결과Pin 연결- 개발 설명위 디자인의 설계는 negedge clk의 입력신호를 기반으로 시작하였습니다.Clk이 들어왔을 때, 버튼이 눌려있는지 검사하고, 눌려있다면 1clk의 주기동안
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    그림 1-61의 펄스 파형은 주기적인가? 비주기적인가? → 주기적이다.11. 그림 1-61의 디지털 파형에 대해 듀티 사이클을 구하라. → 50%12. ... 클럭의 주파수가 3.5 GHz이면 주기는 얼마인가? T=1/f=0.286ns1-3절 기본 논리 연산15. 다음과 같이 표현된 문장 정보를 하나의 논리식으로 표현하라.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • 조선대학교 컴퓨터구조 2024 5장(기말) 개념 문제
    주기적으로 특정 주소에 접근한다.C. 최근에 접근된 항목 근처의 항목에 다시 접근할 가능성이 높다.D. 데이터가 일정한 주기로 갱신된다.E. ... (Block number) moduloU 클럭 속도 증가정답: D. 컴파일러 최적화 기법문제 5:Radix Sort와 Quicksort 중 캐시 미스가 더 많은 것은 무엇입니까? ... 데이터가 주기적으로 갱신된다.D. 메모리의 모든 항목에 동일한 빈도로 접근한다.E. 데이터가 원격 저장소에서 로드된다.정답: B.
    시험자료 | 111페이지 | 3,000원 | 등록일 2024.06.11 | 수정일 2024.06.13
  • 마이크로프로세서 실험 - 타이머와 PWM2
    ★비트 4:3 : WGMn3:2-TCCRxA의 비트1~0(WGMx1~0)와 결합하여 동작모드를 설정★비트2:0 : CSn2:0-분주비와 클럭소스를 선택2. 실험 코드 분석 ? ... 카운터는 업 카운터로서만 동작-MAX(0xFF)값이 되면, BOTTOM(0x00)값부터 다시 시작-MAX 위치에서 오버플로우 인터럽트 발생★TCNTn의 초기값을 설정하여 전체 타이머 주기를 ... 카운터로서만 동작-0으로부터 설정된 한계값까지 세고 다시 0으로 클리어-TCNT 값이 증가하여, OCR값과 일치하면 출력 비교 인터럽트 발생-OCRn의 값을 바꾸면 그 다음 카운터 주기
    리포트 | 15페이지 | 2,000원 | 등록일 2020.10.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대